OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_0/] [rtl/] [vhdl/] [system/] [t48_system_comp_pack-p.vhd] - Blame information for rev 153

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 148 arniml
-------------------------------------------------------------------------------
2
--
3 153 arniml
-- $Id: t48_system_comp_pack-p.vhd,v 1.2 2004-12-02 22:08:40 arniml Exp $
4 148 arniml
--
5
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
6
--
7
-- All rights reserved
8
--
9
-------------------------------------------------------------------------------
10
 
11
library ieee;
12
use ieee.std_logic_1164.all;
13
 
14
package t48_system_comp_pack is
15
 
16
  component t8048_notri
17 153 arniml
    generic (
18
      gate_port_input_g : integer := 1
19
    );
20
 
21 148 arniml
    port (
22
      xtal_i       : in  std_logic;
23
      reset_n_i    : in  std_logic;
24
      t0_i         : in  std_logic;
25
      t0_o         : out std_logic;
26
      t0_dir_o     : out std_logic;
27
      int_n_i      : in  std_logic;
28
      ea_i         : in  std_logic;
29
      rd_n_o       : out std_logic;
30
      psen_n_o     : out std_logic;
31
      wr_n_o       : out std_logic;
32
      ale_o        : out std_logic;
33
      db_i         : in  std_logic_vector( 7 downto 0);
34
      db_o         : out std_logic_vector( 7 downto 0);
35
      db_dir_o     : out std_logic;
36
      t1_i         : in  std_logic;
37
      p2_i         : in  std_logic_vector( 7 downto 0);
38
      p2_o         : out std_logic_vector( 7 downto 0);
39
      p2_low_imp_o : out std_logic;
40
      p1_i         : in  std_logic_vector( 7 downto 0);
41
      p1_o         : out std_logic_vector( 7 downto 0);
42
      p1_low_imp_o : out std_logic;
43
      prog_n_o     : out std_logic
44
    );
45
  end component;
46
 
47
end t48_system_comp_pack;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.