OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_0/] [rtl/] [vhdl/] [system/] [t48_system_comp_pack-p.vhd] - Blame information for rev 210

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 148 arniml
-------------------------------------------------------------------------------
2
--
3 210 arniml
-- $Id: t48_system_comp_pack-p.vhd,v 1.7 2005-11-01 21:37:10 arniml Exp $
4 148 arniml
--
5
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
6
--
7
-- All rights reserved
8
--
9
-------------------------------------------------------------------------------
10
 
11
library ieee;
12
use ieee.std_logic_1164.all;
13
 
14
package t48_system_comp_pack is
15
 
16 180 arniml
  component t48_wb_master
17 165 arniml
    port (
18 168 arniml
      xtal_i   : in  std_logic;
19
      res_i    : in  std_logic;
20
      en_clk_o : out std_logic;
21
      ale_i    : in  std_logic;
22
      rd_n_i   : in  std_logic;
23
      wr_n_i   : in  std_logic;
24
      adr_i    : in  std_logic;
25
      db_bus_i : in  std_logic_vector( 7 downto 0);
26
      db_bus_o : out std_logic_vector( 7 downto 0);
27
      wb_cyc_o : out std_logic;
28
      wb_stb_o : out std_logic;
29
      wb_we_o  : out std_logic;
30
      wb_adr_o : out std_logic_vector(23 downto 0);
31
      wb_ack_i : in  std_logic;
32
      wb_dat_i : in  std_logic_vector( 7 downto 0);
33
      wb_dat_o : out std_logic_vector( 7 downto 0)
34 165 arniml
    );
35
  end component;
36
 
37 148 arniml
  component t8048_notri
38 153 arniml
    generic (
39
      gate_port_input_g : integer := 1
40
    );
41
 
42 148 arniml
    port (
43 210 arniml
      xtal_i        : in  std_logic;
44
      reset_n_i     : in  std_logic;
45
      t0_i          : in  std_logic;
46
      t0_o          : out std_logic;
47
      t0_dir_o      : out std_logic;
48
      int_n_i       : in  std_logic;
49
      ea_i          : in  std_logic;
50
      rd_n_o        : out std_logic;
51
      psen_n_o      : out std_logic;
52
      wr_n_o        : out std_logic;
53
      ale_o         : out std_logic;
54
      db_i          : in  std_logic_vector( 7 downto 0);
55
      db_o          : out std_logic_vector( 7 downto 0);
56
      db_dir_o      : out std_logic;
57
      t1_i          : in  std_logic;
58
      p2_i          : in  std_logic_vector( 7 downto 0);
59
      p2_o          : out std_logic_vector( 7 downto 0);
60
      p2l_low_imp_o : out std_logic;
61
      p2h_low_imp_o : out std_logic;
62
      p1_i          : in  std_logic_vector( 7 downto 0);
63
      p1_o          : out std_logic_vector( 7 downto 0);
64
      p1_low_imp_o  : out std_logic;
65
      prog_n_o      : out std_logic
66 148 arniml
    );
67
  end component;
68
 
69 156 arniml
  component t8039_notri
70
    generic (
71
      gate_port_input_g : integer := 1
72
    );
73
 
74
    port (
75 210 arniml
      xtal_i        : in  std_logic;
76
      reset_n_i     : in  std_logic;
77
      t0_i          : in  std_logic;
78
      t0_o          : out std_logic;
79
      t0_dir_o      : out std_logic;
80
      int_n_i       : in  std_logic;
81
      ea_i          : in  std_logic;
82
      rd_n_o        : out std_logic;
83
      psen_n_o      : out std_logic;
84
      wr_n_o        : out std_logic;
85
      ale_o         : out std_logic;
86
      db_i          : in  std_logic_vector( 7 downto 0);
87
      db_o          : out std_logic_vector( 7 downto 0);
88
      db_dir_o      : out std_logic;
89
      t1_i          : in  std_logic;
90
      p2_i          : in  std_logic_vector( 7 downto 0);
91
      p2_o          : out std_logic_vector( 7 downto 0);
92
      p2l_low_imp_o : out std_logic;
93
      p2h_low_imp_o : out std_logic;
94
      p1_i          : in  std_logic_vector( 7 downto 0);
95
      p1_o          : out std_logic_vector( 7 downto 0);
96
      p1_low_imp_o  : out std_logic;
97
      prog_n_o      : out std_logic
98 156 arniml
    );
99
  end component;
100
 
101 165 arniml
  component t8050_wb
102
    generic (
103
      gate_port_input_g : integer := 1
104
    );
105
 
106
    port (
107 210 arniml
      xtal_i        : in  std_logic;
108
      reset_n_i     : in  std_logic;
109
      t0_i          : in  std_logic;
110
      t0_o          : out std_logic;
111
      t0_dir_o      : out std_logic;
112
      int_n_i       : in  std_logic;
113
      ea_i          : in  std_logic;
114
      rd_n_o        : out std_logic;
115
      psen_n_o      : out std_logic;
116
      wr_n_o        : out std_logic;
117
      ale_o         : out std_logic;
118
      t1_i          : in  std_logic;
119
      p2_i          : in  std_logic_vector( 7 downto 0);
120
      p2_o          : out std_logic_vector( 7 downto 0);
121
      p2l_low_imp_o : out std_logic;
122
      p2h_low_imp_o : out std_logic;
123
      p1_i          : in  std_logic_vector( 7 downto 0);
124
      p1_o          : out std_logic_vector( 7 downto 0);
125
      p1_low_imp_o  : out std_logic;
126
      prog_n_o      : out std_logic;
127
      wb_cyc_o      : out std_logic;
128
      wb_stb_o      : out std_logic;
129
      wb_we_o       : out std_logic;
130
      wb_adr_o      : out std_logic_vector(23 downto 0);
131
      wb_ack_i      : in  std_logic;
132
      wb_dat_i      : in  std_logic_vector( 7 downto 0);
133
      wb_dat_o      : out std_logic_vector( 7 downto 0)
134 165 arniml
    );
135
  end component;
136
 
137 156 arniml
  component t8048
138
    port (
139
      xtal_i    : in    std_logic;
140
      reset_n_i : in    std_logic;
141
      t0_b      : inout std_logic;
142
      int_n_i   : in    std_logic;
143
      ea_i      : in    std_logic;
144
      rd_n_o    : out   std_logic;
145
      psen_n_o  : out   std_logic;
146
      wr_n_o    : out   std_logic;
147
      ale_o     : out   std_logic;
148
      db_b      : inout std_logic_vector( 7 downto 0);
149
      t1_i      : in    std_logic;
150
      p2_b      : inout std_logic_vector( 7 downto 0);
151
      p1_b      : inout std_logic_vector( 7 downto 0);
152
      prog_n_o  : out   std_logic
153
    );
154
  end component;
155
 
156
  component t8039
157
    port (
158
      xtal_i    : in    std_logic;
159
      reset_n_i : in    std_logic;
160
      t0_b      : inout std_logic;
161
      int_n_i   : in    std_logic;
162
      ea_i      : in    std_logic;
163
      rd_n_o    : out   std_logic;
164
      psen_n_o  : out   std_logic;
165
      wr_n_o    : out   std_logic;
166
      ale_o     : out   std_logic;
167
      db_b      : inout std_logic_vector( 7 downto 0);
168
      t1_i      : in    std_logic;
169
      p2_b      : inout std_logic_vector( 7 downto 0);
170
      p1_b      : inout std_logic_vector( 7 downto 0);
171
      prog_n_o  : out   std_logic
172
    );
173
  end component;
174
 
175 148 arniml
end t48_system_comp_pack;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.