OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_0/] [rtl/] [vhdl/] [t48_core_comp_pack-p.vhd] - Blame information for rev 209

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 arniml
-------------------------------------------------------------------------------
2
--
3 209 arniml
-- $Id: t48_core_comp_pack-p.vhd,v 1.3 2005-11-01 21:33:41 arniml Exp $
4 4 arniml
--
5
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
6
--
7
-- All rights reserved
8
--
9
-------------------------------------------------------------------------------
10
 
11
library ieee;
12
use ieee.std_logic_1164.all;
13
 
14
package t48_core_comp_pack is
15
 
16
  component t48_core
17
    generic (
18
      xtal_div_3_g          : integer := 1;
19
      register_mnemonic_g   : integer := 1;
20
      include_port1_g       : integer := 1;
21
      include_port2_g       : integer := 1;
22
      include_bus_g         : integer := 1;
23
      include_timer_g       : integer := 1;
24
      sample_t1_state_g     : integer := 4
25
    );
26
 
27
    port (
28 209 arniml
      xtal_i        : in  std_logic;
29
      reset_i       : in  std_logic;
30
      t0_i          : in  std_logic;
31
      t0_o          : out std_logic;
32
      t0_dir_o      : out std_logic;
33
      int_n_i       : in  std_logic;
34
      ea_i          : in  std_logic;
35
      rd_n_o        : out std_logic;
36
      psen_n_o      : out std_logic;
37
      wr_n_o        : out std_logic;
38
      ale_o         : out std_logic;
39
      db_i          : in  std_logic_vector( 7 downto 0);
40
      db_o          : out std_logic_vector( 7 downto 0);
41
      db_dir_o      : out std_logic;
42
      t1_i          : in  std_logic;
43
      p2_i          : in  std_logic_vector( 7 downto 0);
44
      p2_o          : out std_logic_vector( 7 downto 0);
45
      p2l_low_imp_o : out std_logic;
46
      p2h_low_imp_o : out std_logic;
47
      p1_i          : in  std_logic_vector( 7 downto 0);
48
      p1_o          : out std_logic_vector( 7 downto 0);
49
      p1_low_imp_o  : out std_logic;
50
      prog_n_o      : out std_logic;
51
      clk_i         : in  std_logic;
52
      en_clk_i      : in  std_logic;
53
      xtal3_o       : out std_logic;
54
      dmem_addr_o   : out std_logic_vector( 7 downto 0);
55
      dmem_we_o     : out std_logic;
56
      dmem_data_i   : in  std_logic_vector( 7 downto 0);
57
      dmem_data_o   : out std_logic_vector( 7 downto 0);
58
      pmem_addr_o   : out std_logic_vector(11 downto 0);
59
      pmem_data_i   : in  std_logic_vector( 7 downto 0)
60 4 arniml
    );
61
  end component;
62
 
63
  component syn_rom
64
    generic (
65
      address_width_g : positive := 10
66
    );
67
    port (
68
      clk_i      : in  std_logic;
69
      rom_addr_i : in  std_logic_vector(address_width_g-1 downto 0);
70
      rom_data_o : out std_logic_vector(7 downto 0)
71
    );
72
  end component;
73
 
74
  component syn_ram
75
    generic (
76
      address_width_g : positive := 8
77
    );
78
    port (
79
      clk_i      : in  std_logic;
80
      res_i      : in  std_logic;
81
      ram_addr_i : in  std_logic_vector(address_width_g-1 downto 0);
82
      ram_data_i : in  std_logic_vector(7 downto 0);
83
      ram_we_i   : in  std_logic;
84
      ram_data_o : out std_logic_vector(7 downto 0)
85
    );
86
  end component;
87
 
88
end t48_core_comp_pack;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.