OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_0/] [sw/] [verif/] [include/] [Makefile.core] - Blame information for rev 339

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 arniml
 
2
.PHONY: all
3 229 arniml
all: t3x t48 t49
4 14 arniml
 
5 229 arniml
.PHONY: t3x
6
t3x: $(SIM_DIR)/rom_t3x.hex $(SIM_DIR)/rom_t3x_ext.hex
7
 
8
.PHONY: t48
9
t48: $(SIM_DIR)/rom_t48.hex $(SIM_DIR)/rom_t48_ext.hex
10
 
11
.PHONY: t49
12
t49: $(SIM_DIR)/rom_t49.hex $(SIM_DIR)/rom_t49_ext.hex
13
 
14
 
15 14 arniml
$(MODULE).p: $(MODULE).asm
16 229 arniml
        asl -i $(VERIF_DIR)/include -L $<
17 14 arniml
 
18 229 arniml
$(SIM_DIR)/rom_t3x.hex: $(MODULE).p
19
        p2hex -r 0-0 $< $@
20
$(SIM_DIR)/rom_t3x_ext.hex: $(MODULE).p
21
        p2hex -r 0-4095 $< $@
22 14 arniml
 
23 229 arniml
$(SIM_DIR)/rom_t48.hex: $(MODULE).p
24
        p2hex -r 0-1023 $< $@
25 239 arniml
# lowest 1k of external ROM will not be used
26 229 arniml
$(SIM_DIR)/rom_t48_ext.hex: $(MODULE).p
27 239 arniml
        p2hex -r 1024-4095 $< $@
28 113 arniml
 
29 229 arniml
$(SIM_DIR)/rom_t49.hex: $(MODULE).p
30
        p2hex -r 0-2047 $< $@
31
$(SIM_DIR)/rom_t49_ext.hex: $(MODULE).p
32
        p2hex -a -r 2048-4095 $< $@
33 14 arniml
 
34
.PHONY: clean
35
clean:
36
        rm -f *.p *~ *.hex *.lst

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.