OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_1/] [syn/] [t8048/] [jopcyc/] [t48_rom.vhd] - Blame information for rev 292

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 278 arniml
-- This file was generated with hex2rom written by Daniel Wallner
2
 
3
library IEEE;
4
use IEEE.std_logic_1164.all;
5
use IEEE.numeric_std.all;
6
 
7
entity rom_t48 is
8
        port(
9
                Clk     : in std_logic;
10
                A       : in std_logic_vector(9 downto 0);
11
                D       : out std_logic_vector(7 downto 0)
12
        );
13
end rom_t48;
14
 
15
architecture rtl of rom_t48 is
16
        signal A_r : std_logic_vector(9 downto 0);
17
begin
18
        process (Clk)
19
        begin
20
                if Clk'event and Clk = '1' then
21
                        A_r <= A;
22
                end if;
23
        end process;
24
        process (A_r)
25
        begin
26
                case to_integer(unsigned(A_r)) is
27
                when 000000 => D <= "00100011"; -- 0x0000
28
                when 000001 => D <= "11111111"; -- 0x0001
29
                when 000002 => D <= "00111001"; -- 0x0002
30
                when 000003 => D <= "11010011"; -- 0x0003
31
                when 000004 => D <= "00000001"; -- 0x0004
32
                when 000005 => D <= "00000100"; -- 0x0005
33
                when 000006 => D <= "00000010"; -- 0x0006
34
                when others => D <= "--------";
35
                end case;
36
        end process;
37
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.