OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_2/] [bench/] [vhdl/] [tb-c.vhd] - Blame information for rev 110

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 arniml
-------------------------------------------------------------------------------
2
--
3
-- The testbench for t48_core.
4
--
5 110 arniml
-- $Id: tb-c.vhd,v 1.3 2004-05-21 11:22:44 arniml Exp $
6 8 arniml
--
7
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
8
--
9
-- All rights reserved
10
--
11
-------------------------------------------------------------------------------
12
 
13
configuration tb_behav_c0 of tb is
14
 
15
  for behav
16
 
17 110 arniml
    for rom_internal_2k : lpm_rom
18
      use configuration work.lpm_rom_c0;
19 8 arniml
    end for;
20
 
21 110 arniml
    for rom_external_2k : lpm_rom
22
      use configuration work.lpm_rom_c0;
23
    end for;
24
 
25 8 arniml
    for ram_256 : syn_ram
26
      use configuration work.syn_ram_lpm_c0;
27
    end for;
28
 
29
    for ext_ram_b : syn_ram
30
      use configuration work.syn_ram_lpm_c0;
31
    end for;
32
 
33
    for t48_core_b : t48_core
34
      use configuration work.t48_core_struct_c0;
35
    end for;
36
 
37 80 arniml
    for if_timing_b : if_timing
38
      use configuration work.if_timing_behav_c0;
39
    end for;
40
 
41 8 arniml
  end for;
42
 
43
end tb_behav_c0;
44
 
45
 
46
-------------------------------------------------------------------------------
47
-- File History:
48
--
49
-- $Log: not supported by cvs2svn $
50 110 arniml
-- Revision 1.2  2004/04/25 16:23:21  arniml
51
-- added if_timing
52
--
53 80 arniml
-- Revision 1.1  2004/03/24 21:42:10  arniml
54
-- initial check-in
55
--
56 8 arniml
-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.