OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_2/] [rtl/] [vhdl/] [alu_pack-p.vhd] - Blame information for rev 300

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 arniml
-------------------------------------------------------------------------------
2
--
3 295 arniml
-- $Id: alu_pack-p.vhd 295 2009-04-01 19:32:48Z arniml $
4 4 arniml
--
5
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
6
--
7
-- All rights reserved
8
--
9
-------------------------------------------------------------------------------
10
 
11
library ieee;
12
use ieee.std_logic_1164.all;
13
 
14
use work.t48_pack.word_width_c;
15
 
16 179 arniml
package t48_alu_pack is
17 4 arniml
 
18
  -----------------------------------------------------------------------------
19
  -- The ALU operations
20
  -----------------------------------------------------------------------------
21
  type alu_op_t is (ALU_AND, ALU_OR, ALU_XOR,
22
                    ALU_CPL, ALU_CLR,
23
                    ALU_RL, ALU_RR,
24
                    ALU_SWAP,
25
                    ALU_DEC, ALU_INC,
26
                    ALU_ADD,
27 38 arniml
                    ALU_CONCAT,
28 4 arniml
                    ALU_NOP);
29
 
30
  -----------------------------------------------------------------------------
31
  -- The dedicated ALU arithmetic types.
32
  -----------------------------------------------------------------------------
33
  subtype alu_operand_t is std_logic_vector(word_width_c downto 0);
34
 
35 179 arniml
end t48_alu_pack;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.