OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_2/] [rtl/] [vhdl/] [cond_branch_pack-p.vhd] - Blame information for rev 300

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 arniml
-------------------------------------------------------------------------------
2
--
3 295 arniml
-- $Id: cond_branch_pack-p.vhd 295 2009-04-01 19:32:48Z arniml $
4 4 arniml
--
5
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
6
--
7
-- All rights reserved
8
--
9
-------------------------------------------------------------------------------
10
 
11
library ieee;
12
use ieee.std_logic_1164.all;
13
 
14 179 arniml
package t48_cond_branch_pack is
15 4 arniml
 
16
  -----------------------------------------------------------------------------
17
  -- The branch conditions.
18
  -----------------------------------------------------------------------------
19
  type branch_conditions_t is (COND_ON_BIT, COND_Z,
20
                               COND_C,
21
                               COND_F0, COND_F1,
22
                               COND_INT,
23
                               COND_T0, COND_T1,
24
                               COND_TF);
25
 
26
  subtype comp_value_t is std_logic_vector(2 downto 0);
27
 
28 179 arniml
end t48_cond_branch_pack;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.