OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_4/] [rtl/] [vhdl/] [p1-c.vhd] - Blame information for rev 344

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 arniml
-------------------------------------------------------------------------------
2
--
3
-- The Port 1 unit.
4
-- Implements the Port 1 logic.
5
--
6 295 arniml
-- $Id: p1-c.vhd 295 2009-04-01 19:32:48Z arniml $
7 4 arniml
--
8
-- All rights reserved
9
--
10
-------------------------------------------------------------------------------
11
 
12 179 arniml
configuration t48_p1_rtl_c0 of t48_p1 is
13 4 arniml
 
14
  for rtl
15
  end for;
16
 
17 179 arniml
end t48_p1_rtl_c0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.