OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_4/] [rtl/] [vhdl/] [system/] [t48_system_comp_pack-p.vhd] - Blame information for rev 156

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 148 arniml
-------------------------------------------------------------------------------
2
--
3 156 arniml
-- $Id: t48_system_comp_pack-p.vhd,v 1.3 2004-12-03 19:43:12 arniml Exp $
4 148 arniml
--
5
-- Copyright (c) 2004, Arnim Laeuger (arniml@opencores.org)
6
--
7
-- All rights reserved
8
--
9
-------------------------------------------------------------------------------
10
 
11
library ieee;
12
use ieee.std_logic_1164.all;
13
 
14
package t48_system_comp_pack is
15
 
16
  component t8048_notri
17 153 arniml
    generic (
18
      gate_port_input_g : integer := 1
19
    );
20
 
21 148 arniml
    port (
22
      xtal_i       : in  std_logic;
23
      reset_n_i    : in  std_logic;
24
      t0_i         : in  std_logic;
25
      t0_o         : out std_logic;
26
      t0_dir_o     : out std_logic;
27
      int_n_i      : in  std_logic;
28
      ea_i         : in  std_logic;
29
      rd_n_o       : out std_logic;
30
      psen_n_o     : out std_logic;
31
      wr_n_o       : out std_logic;
32
      ale_o        : out std_logic;
33
      db_i         : in  std_logic_vector( 7 downto 0);
34
      db_o         : out std_logic_vector( 7 downto 0);
35
      db_dir_o     : out std_logic;
36
      t1_i         : in  std_logic;
37
      p2_i         : in  std_logic_vector( 7 downto 0);
38
      p2_o         : out std_logic_vector( 7 downto 0);
39
      p2_low_imp_o : out std_logic;
40
      p1_i         : in  std_logic_vector( 7 downto 0);
41
      p1_o         : out std_logic_vector( 7 downto 0);
42
      p1_low_imp_o : out std_logic;
43
      prog_n_o     : out std_logic
44
    );
45
  end component;
46
 
47 156 arniml
  component t8039_notri
48
    generic (
49
      gate_port_input_g : integer := 1
50
    );
51
 
52
    port (
53
      xtal_i       : in  std_logic;
54
      reset_n_i    : in  std_logic;
55
      t0_i         : in  std_logic;
56
      t0_o         : out std_logic;
57
      t0_dir_o     : out std_logic;
58
      int_n_i      : in  std_logic;
59
      ea_i         : in  std_logic;
60
      rd_n_o       : out std_logic;
61
      psen_n_o     : out std_logic;
62
      wr_n_o       : out std_logic;
63
      ale_o        : out std_logic;
64
      db_i         : in  std_logic_vector( 7 downto 0);
65
      db_o         : out std_logic_vector( 7 downto 0);
66
      db_dir_o     : out std_logic;
67
      t1_i         : in  std_logic;
68
      p2_i         : in  std_logic_vector( 7 downto 0);
69
      p2_o         : out std_logic_vector( 7 downto 0);
70
      p2_low_imp_o : out std_logic;
71
      p1_i         : in  std_logic_vector( 7 downto 0);
72
      p1_o         : out std_logic_vector( 7 downto 0);
73
      p1_low_imp_o : out std_logic;
74
      prog_n_o     : out std_logic
75
    );
76
  end component;
77
 
78
  component t8048
79
    port (
80
      xtal_i    : in    std_logic;
81
      reset_n_i : in    std_logic;
82
      t0_b      : inout std_logic;
83
      int_n_i   : in    std_logic;
84
      ea_i      : in    std_logic;
85
      rd_n_o    : out   std_logic;
86
      psen_n_o  : out   std_logic;
87
      wr_n_o    : out   std_logic;
88
      ale_o     : out   std_logic;
89
      db_b      : inout std_logic_vector( 7 downto 0);
90
      t1_i      : in    std_logic;
91
      p2_b      : inout std_logic_vector( 7 downto 0);
92
      p1_b      : inout std_logic_vector( 7 downto 0);
93
      prog_n_o  : out   std_logic
94
    );
95
  end component;
96
 
97
  component t8039
98
    port (
99
      xtal_i    : in    std_logic;
100
      reset_n_i : in    std_logic;
101
      t0_b      : inout std_logic;
102
      int_n_i   : in    std_logic;
103
      ea_i      : in    std_logic;
104
      rd_n_o    : out   std_logic;
105
      psen_n_o  : out   std_logic;
106
      wr_n_o    : out   std_logic;
107
      ale_o     : out   std_logic;
108
      db_b      : inout std_logic_vector( 7 downto 0);
109
      t1_i      : in    std_logic;
110
      p2_b      : inout std_logic_vector( 7 downto 0);
111
      p1_b      : inout std_logic_vector( 7 downto 0);
112
      prog_n_o  : out   std_logic
113
    );
114
  end component;
115
 
116 148 arniml
end t48_system_comp_pack;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.