OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [t2600.v] - Blame information for rev 253

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 188 creep
////////////////////////////////////////////////////////////////////////////
2
////                                                                    ////
3
//// t2600 IP Core                                                      ////
4
////                                                                    ////
5
//// This file is part of the t2600 project                             ////
6
//// http://www.opencores.org/cores/t2600/                              ////
7
////                                                                    ////
8
//// Description                                                        ////
9
//// Top level for the entire system                                    ////
10
////                                                                    ////
11
//// TODO:                                                              ////
12
//// - Instantiate all modules                                          ////
13
////                                                                    ////
14
//// Author(s):                                                         ////
15
//// - Gabriel Oshiro Zardo, gabrieloshiro@gmail.com                    ////
16
//// - Samuel Nascimento Pagliarini (creep), snpagliarini@gmail.com     ////
17
////                                                                    ////
18
////////////////////////////////////////////////////////////////////////////
19
////                                                                    ////
20
//// Copyright (C) 2001 Authors and OPENCORES.ORG                       ////
21
////                                                                    ////
22
//// This source file may be used and distributed without               ////
23
//// restriction provided that this copyright statement is not          ////
24
//// removed from the file and that any derivative work contains        ////
25
//// the original copyright notice and the associated disclaimer.       ////
26
////                                                                    ////
27
//// This source file is free software; you can redistribute it         ////
28
//// and/or modify it under the terms of the GNU Lesser General         ////
29
//// Public License as published by the Free Software Foundation;       ////
30
//// either version 2.1 of the License, or (at your option) any         ////
31
//// later version.                                                     ////
32
////                                                                    ////
33
//// This source is distributed in the hope that it will be             ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied         ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR            ////
36
//// PURPOSE. See the GNU Lesser General Public License for more        ////
37
//// details.                                                           ////
38
////                                                                    ////
39
//// You should have received a copy of the GNU Lesser General          ////
40
//// Public License along with this source; if not, download it         ////
41
//// from http://www.opencores.org/lgpl.shtml                           ////
42
////                                                                    ////
43
////////////////////////////////////////////////////////////////////////////
44
 
45
`include "timescale.v"
46
 
47 193 creep
module t2600(clk, reset_n);
48
        parameter [3:0] DATA_SIZE = 4'd8;
49
        parameter [3:0] ADDR_SIZE = 4'd13;
50
        localparam [3:0] RIOT_ADDR_SIZE = 4'd7;
51
        localparam [3:0] TIA_ADDR_SIZE = 4'd6;
52
 
53
        input clk;
54
        input reset_n;
55
 
56
        t6507lp #(DATA_SIZE, ADDR_SIZE) t6507lp (
57
                .clk            (clk),
58
                .reset_n        (reset_n),
59
                .data_in        (data_in),
60
                .rw_mem         (rw_mem),
61
                .data_out       (data_out),
62
                .address        (address)
63
        );
64
 
65
        t6532 #(DATA_SIZE, RIOT_ADDR_SIZE) t6532 (
66
                .clk            (clk),
67
                .io_lines       (io_lines),
68
                .enable         (enable),
69
                .address        (address),
70
                .data           (data)
71
        );
72
 
73 214 creep
        t2600_bus t2600_bus (
74
                .address        (address),
75
                .data_from_cpu  (data_from_cpu),
76
                .cpu_rw_mem     (cpu_rw_mem),
77
                .riot_data      (riot_data),
78
                .rom_data       (rom_data),
79
                .tia_data       (tia_data),
80
                .address_riot   (address_riot),
81
                .address_rom    (address_rom),
82
                .address_tia    (address_tia),
83
                .data_to_cpu    (data_to_cpu),
84
                .enable_riot    (enable_riot),
85
                .enable_rom     (enable_rom),
86
                .enable_tia     (enable_tia),
87
                .rw_mem         (rw_mem)
88
        );
89 193 creep
 
90 214 creep
        T2600_KB T2600_KB (
91
                .CLK            (clk),
92
                .RST            (reset_n),
93
                .io_lines       (io_lines),
94
                .KC             (kc),
95
                .KD             (kd)
96
        );
97
 
98 215 creep
        video video (
99 214 creep
 
100 215 creep
        );
101 214 creep
 
102
 
103 192 creep
// VIDEO
104 193 creep
 
105
endmodule
106
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.