OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [t2600_kb_tb.v] - Blame information for rev 212

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 211 creep
////////////////////////////////////////////////////////////////////////////
2
////                                                                    ////
3
//// T2600LP IP Core                                                    ////
4
////                                                                    ////
5
//// This file is part of the T2600LP project                           ////
6
//// http://www.opencores.org/cores/t2600/                              ////
7
////                                                                    ////
8
//// Description                                                        ////
9
//// t2600 keyboard controller                                          ////
10
////                                                                    ////
11
//// TODO:                                                              ////
12
////                                                                    ////
13
//// Author(s):                                                         ////
14
//// - Gabriel Oshiro Zardo, gabrieloshiro@gmail.com                    ////
15
//// - Samuel Nascimento Pagliarini (creep), snpagliarini@gmail.com     ////
16
////                                                                    ////
17
////////////////////////////////////////////////////////////////////////////
18
////                                                                    ////
19
//// Copyright (C) 2001 Authors and OPENCORES.ORG                       ////
20
////                                                                    ////
21
//// This source file may be used and distributed without               ////
22
//// restriction provided that this copyright statement is not          ////
23
//// removed from the file and that any derivative work contains        ////
24
//// the original copyright notice and the associated disclaimer.       ////
25
////                                                                    ////
26
//// This source file is free software; you can redistribute it         ////
27
//// and/or modify it under the terms of the GNU Lesser General         ////
28
//// Public License as published by the Free Software Foundation;       ////
29
//// either version 2.1 of the License, or (at your option) any         ////
30
//// later version.                                                     ////
31
////                                                                    ////
32
//// This source is distributed in the hope that it will be             ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied         ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR            ////
35
//// PURPOSE. See the GNU Lesser General Public License for more        ////
36
//// details.                                                           ////
37
////                                                                    ////
38
//// You should have received a copy of the GNU Lesser General          ////
39
//// Public License along with this source; if not, download it         ////
40
//// from http://www.opencores.org/lgpl.shtml                           ////
41
////                                                                    ////
42
////////////////////////////////////////////////////////////////////////////
43
 
44
`include "timescale.v"
45
 
46
module t2600_kb_tb();
47
        // all inputs are regs
48
        reg clk;
49
        reg reset_n;
50
        // all outputs are wires
51
        wire [15:0] io_lines;
52
 
53
        initial clk = 0;
54
        always #10 clk <= ~clk;
55
 
56
        always @(posedge clk) begin
57
                //$display("reset is %b", reset_n);
58
                //$display("alu_enable is %b", alu_enable);
59
                //$display("alu_opcode is %h", alu_opcode);
60
                //$display("alu_a is %d", alu_a);
61
        end
62
 
63
        t2600_kb t2600_kb (
64
                .CLK            (clk),
65
                .RST            (reset_n),
66
                .io_lines       (alu_enable)
67
        );
68
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.