OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [t6507lp_alu.v] - Blame information for rev 176

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 141 creep
////////////////////////////////////////////////////////////////////////////
2 152 gabrielosh
////                                                                    ////
3
//// T6507LP IP Core                                                    ////
4
////                                                                    ////
5
//// This file is part of the T6507LP project                           ////
6
//// http://www.opencores.org/cores/t6507lp/                            ////
7
////                                                                    ////
8
//// Description                                                        ////
9
//// 6507 ALU                                                           ////
10
////                                                                    ////
11
//// To Do:                                                             ////
12
//// - Search for TODO                                                  ////
13
////                                                                    ////
14
//// Author(s):                                                         ////
15
//// - Gabriel Oshiro Zardo, gabrieloshiro@gmail.com                    ////
16
//// - Samuel Nascimento Pagliarini (creep), snpagliarini@gmail.com     ////
17
////                                                                    ////
18 141 creep
////////////////////////////////////////////////////////////////////////////
19 152 gabrielosh
////                                                                    ////
20
//// Copyright (C) 2001 Authors and OPENCORES.ORG                       ////
21
////                                                                    ////
22
//// This source file may be used and distributed without               ////
23
//// restriction provided that this copyright statement is not          ////
24
//// removed from the file and that any derivative work contains        ////
25
//// the original copyright notice and the associated disclaimer.       ////
26
////                                                                    ////
27
//// This source file is free software; you can redistribute it         ////
28
//// and/or modify it under the terms of the GNU Lesser General         ////
29
//// Public License as published by the Free Software Foundation;       ////
30
//// either version 2.1 of the License, or (at your option) any         ////
31
//// later version.                                                     ////
32
////                                                                    ////
33
//// This source is distributed in the hope that it will be             ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied         ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR            ////
36
//// PURPOSE. See the GNU Lesser General Public License for more        ////
37
//// details.                                                           ////
38
////                                                                    ////
39
//// You should have received a copy of the GNU Lesser General          ////
40
//// Public License along with this source; if not, download it         ////
41
//// from http://www.opencores.org/lgpl.shtml                           ////
42
////                                                                    ////
43 141 creep
////////////////////////////////////////////////////////////////////////////
44
 
45
`include "timescale.v"
46
 
47
// TODO: verify code identation
48
 
49
module t6507lp_alu( clk, reset_n, alu_enable, alu_result, alu_status, alu_opcode, alu_a, alu_x, alu_y );
50
 
51
input wire       clk;
52
input wire       reset_n;
53
input wire       alu_enable;
54
input wire [7:0] alu_opcode;
55
input wire [7:0] alu_a;
56
output reg [7:0] alu_result;
57
output reg [7:0] alu_status;
58
output reg [7:0] alu_x;
59
output reg [7:0] alu_y;
60
 
61
reg [7:0] A;
62
reg [7:0] X;
63
reg [7:0] Y;
64
 
65
reg [7:0] STATUS;
66
reg [7:0] result;
67 152 gabrielosh
reg [7:0] op1;
68
reg [7:0] op2;
69 161 gabrielosh
reg [7:0] bcdl;
70
reg [7:0] bcdh;
71 164 gabrielosh
reg [7:0] bcdh2;
72
reg [7:0] AL;
73
reg [7:0] AH;
74 173 gabrielosh
reg C_aux;
75
reg sign;
76 141 creep
 
77
`include "t6507lp_package.v"
78
 
79
always @ (posedge clk or negedge reset_n)
80
begin
81
        if (reset_n == 0) begin
82
                alu_result <= 0;
83
                alu_status[C] <= 0;
84
                alu_status[N] <= 0;
85
                alu_status[V] <= 0;
86 148 gabrielosh
                alu_status[5] <= 1;
87 141 creep
                alu_status[Z] <= 1;
88
                alu_status[I] <= 0;
89
                alu_status[B] <= 0;
90
                alu_status[D] <= 0;
91
                A <= 0;
92
                X <= 0;
93
                Y <= 0;
94
                alu_x <= 0;
95
                alu_y <= 0;
96
        end
97
        else if ( alu_enable == 1 ) begin
98
                case (alu_opcode)
99
                        ADC_IMM, ADC_ZPG, ADC_ZPX, ADC_ABS, ADC_ABX, ADC_ABY, ADC_IDX, ADC_IDY,
100
                        AND_IMM, AND_ZPG, AND_ZPX, AND_ABS, AND_ABX, AND_ABY, AND_IDX, AND_IDY,
101
                        ASL_ACC, EOR_IMM, EOR_ZPG, EOR_ZPX, EOR_ABS, EOR_ABX, EOR_ABY, EOR_IDX,
102
                        EOR_IDY, LSR_ACC, ORA_IMM, ORA_ZPG, ORA_ZPX, ORA_ABS, ORA_ABX, ORA_ABY,
103
                        ORA_IDX, ORA_IDY, ROL_ACC, ROR_ACC, SBC_IMM, SBC_ZPG, SBC_ZPX, SBC_ABS,
104
                        SBC_ABX, SBC_ABY, SBC_IDX, SBC_IDY, LDA_IMM, LDA_ZPG, LDA_ZPX, LDA_ABS,
105
                        LDA_ABX, LDA_ABY, LDA_IDX, LDA_IDY, PLA_IMP, TXA_IMP, TYA_IMP :
106
                        begin
107
                                A          <= result;
108
                                alu_result <= result;
109
                                alu_status <= STATUS;
110
                        end
111
                        LDX_IMM, LDX_ZPG, LDX_ZPY, LDX_ABS, LDX_ABY, TAX_IMP, TSX_IMP, INX_IMP, DEX_IMP :
112
                        begin
113
                                X          <= result;
114
                                alu_x      <= result;
115
                                alu_status <= STATUS;
116
                        end
117
                        TXS_IMP :
118
                        begin
119 148 gabrielosh
                                X          <= result;
120
                                alu_x      <= result;
121 141 creep
                        end
122
                        LDY_IMM, LDY_ZPG, LDY_ZPX, LDY_ABS, LDY_ABX, TAY_IMP, INY_IMP, DEY_IMP :
123
                        begin
124
                                Y          <= result;
125
                                alu_y      <= result;
126
                                alu_status <= STATUS;
127
                        end
128 148 gabrielosh
                        CMP_IMM, CMP_ZPG, CMP_ZPX, CMP_ABS, CMP_ABX, CMP_ABY, CMP_IDX, CMP_IDY,
129 165 gabrielosh
                        CPX_IMM, CPX_ZPG, CPX_ABS, CPY_IMM, CPY_ZPG, CPY_ABS :
130 141 creep
                        begin
131
                                alu_status <= STATUS;
132
                        end
133 158 gabrielosh
                        PHA_IMP :
134
                        begin
135
                                alu_result <= result;
136
                        end
137 141 creep
                        SEC_IMP :
138
                        begin
139
                                alu_status[C] <= 1;
140
                        end
141
                        SED_IMP :
142
                        begin
143
                                alu_status[D] <= 1;
144
                        end
145
                        SEI_IMP :
146
                        begin
147
                                alu_status[I] <= 1;
148
                        end
149
                        CLC_IMP :
150
                        begin
151
                                alu_status[C] <= 0;
152
                        end
153
                        CLD_IMP :
154
                        begin
155
                                alu_status[D] <= 0;
156
                        end
157
                        CLI_IMP :
158
                        begin
159
                                alu_status[I] <= 0;
160
                        end
161
                        CLV_IMP :
162
                        begin
163
                                alu_status[V] <= 0;
164
                        end
165
                        BRK_IMP :
166
                        begin
167 154 gabrielosh
                                alu_status[B] <= 1;
168 141 creep
                        end
169 171 creep
                        PLP_IMP, RTI_IMP :
170 141 creep
                        begin
171 175 gabrielosh
                                alu_status[C] <= alu_a[C];
172
                                alu_status[Z] <= alu_a[Z];
173
                                alu_status[I] <= alu_a[I];
174
                                alu_status[D] <= alu_a[D];
175
                                alu_status[B] <= alu_a[B];
176
                                alu_status[V] <= alu_a[V];
177
                                alu_status[N] <= alu_a[N];
178 173 gabrielosh
                                alu_status[5] <= 1;
179 141 creep
                        end
180
                        BIT_ZPG, BIT_ABS :
181
                        begin
182
                                alu_status[Z] <= STATUS[Z];
183
                                alu_status[V] <= alu_a[6];
184
                                alu_status[N] <= alu_a[7];
185
                        end
186 148 gabrielosh
                        INC_ZPG, INC_ZPX, INC_ABS, INC_ABX, DEC_ZPG, DEC_ZPX, DEC_ABS, DEC_ABX,
187
                        ASL_ZPG, ASL_ZPX, ASL_ABS, ASL_ABX, LSR_ZPG, LSR_ZPX, LSR_ABS, LSR_ABX,
188
                        ROL_ZPG, ROL_ZPX, ROL_ABS, ROL_ABX, ROR_ZPG, ROR_ZPX, ROR_ABS, ROR_ABX :
189 141 creep
                        begin
190
                                alu_result <= result;
191
                                alu_status <= STATUS;
192
                        end
193 173 gabrielosh
                        //PHP_IMP : begin
194
                        //end
195 141 creep
                        default : begin
196
                                //$display("ERROR");
197
                        end
198
                endcase
199
        end
200
end
201
 
202
always @ (*) begin
203 175 gabrielosh
if (alu_enable == 1) begin
204 152 gabrielosh
        op1      = A;
205
        op2      = alu_a;
206 150 gabrielosh
        result    = alu_result;
207
        STATUS[N] = alu_status[N];
208
        STATUS[C] = alu_status[C];
209
        STATUS[V] = alu_status[V];
210
        STATUS[B] = alu_status[B];
211
        STATUS[I] = alu_status[I];
212
        STATUS[D] = alu_status[D];
213
        STATUS[Z] = alu_status[Z];
214
        STATUS[N] = alu_status[N];
215 151 gabrielosh
        STATUS[5] = 1;
216 141 creep
 
217 171 creep
        bcdl = 0;
218
        bcdh = 0;
219
        bcdh2 = 0;
220
        AL = 0;
221
        AH = 0;
222 173 gabrielosh
        sign = op2[7];
223 171 creep
 
224 141 creep
        case (alu_opcode)
225
                // BIT - Bit Test
226
                BIT_ZPG, BIT_ABS: begin
227
                        result = A & alu_a;
228
                end
229
 
230
                // BRK - Force Interrupt
231
                BRK_IMP: begin
232
                        STATUS[B] = 1'b1;
233
                end
234
 
235
                // CLC - Clear Carry Flag
236
                CLC_IMP: begin
237
                        STATUS[C] = 1'b0;
238
                end
239
 
240
                // CLD - Clear Decimal Flag
241
                CLD_IMP: begin
242
                        STATUS[D] = 1'b0;
243
                end
244
 
245
                // CLI - Clear Interrupt Disable
246
                CLI_IMP: begin
247
                        STATUS[I] = 1'b0;
248
                end
249
 
250
                // CLV - Clear Overflow Flag
251
                CLV_IMP: begin
252
                        STATUS[V] = 1'b0;
253
                end
254
 
255
                // NOP - No Operation
256
                //NOP_IMP: begin
257
                        // Do nothing :-D
258
                //end
259
 
260
                // PLP - Pull Processor Status Register
261 175 gabrielosh
                // RTI - Return from Interrupt
262 176 gabrielosh
                //PLP_IMP, RTI_IMP: begin
263
                //      STATUS = alu_a;
264
                //end
265 157 gabrielosh
 
266
                PLA_IMP : begin
267
                        result = alu_a;
268
                end
269 141 creep
 
270
                // STA - Store Accumulator
271
                // PHA - Push A
272
                // TAX - Transfer Accumulator to X
273
                // TAY - Transfer Accumulator to Y
274
                TAX_IMP, TAY_IMP, PHA_IMP, STA_ZPG, STA_ZPX, STA_ABS, STA_ABX, STA_ABY, STA_IDX, STA_IDY : begin
275
                        result = A;
276
                end
277
 
278
                // STX - Store X Register
279
                // TXA - Transfer X to Accumulator
280
                // TXS - Transfer X to Stack pointer
281
                STX_ZPG, STX_ZPY, STX_ABS, TXA_IMP, TXS_IMP : begin
282
                        result = X;
283
                end
284
 
285
                // STY - Store Y Register
286
                // TYA - Transfer Y to Accumulator
287
                STY_ZPG, STY_ZPX, STY_ABS, TYA_IMP : begin
288
                        result = Y;
289
                end
290
 
291
                // SEC - Set Carry Flag
292
                SEC_IMP: begin
293
                        STATUS[C] = 1'b1;
294
                end
295
 
296
                // SED - Set Decimal Flag
297
                SED_IMP: begin
298
                        STATUS[D] = 1'b1;
299
                end
300
 
301
                // SEI - Set Interrupt Disable
302
                SEI_IMP: begin
303
                        STATUS[I] = 1'b1;
304
                end
305
 
306
                // INC - Increment memory
307
                INC_ZPG, INC_ZPX, INC_ABS, INC_ABX : begin
308
                        result = alu_a + 1;
309
                end
310
 
311
                // INX - Increment X Register
312
                INX_IMP: begin
313
                        result = X + 1;
314
                end
315
 
316
                // INY - Increment Y Register
317
                INY_IMP : begin
318
                        result = Y + 1;
319
                end
320
 
321
                // DEC - Decrement memory
322
                DEC_ZPG, DEC_ZPX, DEC_ABS, DEC_ABX : begin
323
                        result = alu_a - 1;
324
                end
325
 
326
                // DEX - Decrement X register
327
                DEX_IMP: begin
328
                        result = X - 1;
329
                end
330
 
331
                // DEY - Decrement Y Register
332
                DEY_IMP: begin
333
                        result = Y - 1;
334
                end
335
 
336
                // ADC - Add with carry
337 162 gabrielosh
                // TODO: verify synthesis for % operand
338 141 creep
                ADC_IMM, ADC_ZPG, ADC_ZPX, ADC_ABS, ADC_ABX, ADC_ABY, ADC_IDX, ADC_IDY : begin
339
                        if (alu_status[D] == 1) begin
340 171 creep
                                //$display("MODO DECIMAL");
341 164 gabrielosh
                                AL = A[3:0] + alu_a[3:0] + alu_status[C];
342
                                AH = A[7:4] + alu_a[7:4];
343 171 creep
                                //$display("AL = %d", AL);
344
                                //$display("AH = %d", AH);
345 164 gabrielosh
                                if (AL > 9) begin
346
                                        bcdh = AH + (AL / 10);
347
                                        bcdl = AL % 10;
348 141 creep
                                end
349 171 creep
                                else begin
350
                                        bcdh = AH;
351
                                        bcdl = AL;
352
                                end
353
 
354
                                // ok
355
 
356
                                if (bcdh > 9) begin
357 161 gabrielosh
                                        STATUS[C] = 1;
358 164 gabrielosh
                                        bcdh2 = bcdh % 10;
359 161 gabrielosh
                                end
360 171 creep
                                else begin
361
                                        STATUS[C] = 0;
362
                                        bcdh2 = bcdh;
363
                                end
364
                                //$display("bcdh2 = %d", bcdh2);
365
                                //$display("bcdl = %d", bcdl);
366 164 gabrielosh
                                result = {bcdh2[3:0],bcdl[3:0]};
367 141 creep
                        end
368 165 gabrielosh
                        else begin
369 171 creep
                                //$display("MODO NORMAL");
370 161 gabrielosh
                                {STATUS[C],result} = op1 + op2 + alu_status[C];
371 165 gabrielosh
                        end
372 162 gabrielosh
 
373 152 gabrielosh
                        if ((op1[7] == op2[7]) && (op1[7] != result[7]))
374 141 creep
                                STATUS[V] = 1;
375
                        else
376
                                STATUS[V] = 0;
377
                end
378
 
379
                // AND - Logical AND
380
                AND_IMM, AND_ZPG, AND_ZPX, AND_ABS, AND_ABX, AND_ABY, AND_IDX, AND_IDY : begin
381
                        result = A & alu_a;
382
                end
383
 
384
                // CMP - Compare
385
                CMP_IMM, CMP_ZPG, CMP_ZPX, CMP_ABS, CMP_ABX, CMP_ABY, CMP_IDX, CMP_IDY : begin
386
                        result = A - alu_a;
387
                        STATUS[C] = (A >= alu_a) ? 1 : 0;
388
                end
389
 
390
                // EOR - Exclusive OR
391
                EOR_IMM, EOR_ZPG, EOR_ZPX, EOR_ABS, EOR_ABX, EOR_ABY, EOR_IDX, EOR_IDY : begin
392 156 gabrielosh
                        result = A ^ alu_a;
393 158 gabrielosh
                        //$display("op1 ^ op2 = result");
394
                        //$display("%d  ^ %d  = %d", op1, op2, result);
395 141 creep
                end
396
 
397
                // LDA - Load Accumulator
398
                // LDX - Load X Register
399
                // LDY - Load Y Register
400
                // TSX - Transfer Stack Pointer to X
401
                LDA_IMM, LDA_ZPG, LDA_ZPX, LDA_ABS, LDA_ABX, LDA_ABY, LDA_IDX, LDA_IDY,
402
                LDX_IMM, LDX_ZPG, LDX_ZPY, LDX_ABS, LDX_ABY,
403
                LDY_IMM, LDY_ZPG, LDY_ZPX, LDY_ABS, LDY_ABX,
404
                TSX_IMP : begin
405
                        result = alu_a;
406
                end
407
 
408
                // ORA - Logical OR
409
                ORA_IMM, ORA_ZPG, ORA_ZPX, ORA_ABS, ORA_ABX, ORA_ABY, ORA_IDX, ORA_IDY : begin
410
                        result = A | alu_a;
411
                end
412
 
413
                // SBC - Subtract with Carry
414
                SBC_IMM, SBC_ZPG, SBC_ZPX, SBC_ABS, SBC_ABX, SBC_ABY, SBC_IDX, SBC_IDY : begin
415 174 gabrielosh
                        op2 = ~alu_a;
416
                        if (alu_status[D] == 1) begin
417 162 gabrielosh
 
418 174 gabrielosh
                                bcdl = op1[3:0] + op2[3:0] + alu_status[C];
419
                                bcdh = op1[7:4] + op2[7:4];
420 162 gabrielosh
                                if (bcdl > 9) begin
421
                                        bcdh = bcdh + bcdl[5:4];
422
                                        bcdl = bcdl % 10;
423
                                end
424
                                if (bcdh > 9) begin
425
                                        STATUS[C] = 1;
426
                                        bcdh = bcdh % 10;
427
                                end
428 163 gabrielosh
                                result = {bcdh[3:0],bcdl[3:0]};
429 162 gabrielosh
                        end
430 173 gabrielosh
                        else begin
431
                                {C_aux,result} = op1 + op2 + alu_status[C];
432 174 gabrielosh
                                STATUS[C] = ~result[7];
433 173 gabrielosh
                        end
434
 
435 162 gabrielosh
 
436 173 gabrielosh
                        if ((op1[7] == sign) && (op1[7] != result[7]))
437 162 gabrielosh
                                STATUS[V] = 1;
438
                        else
439
                                STATUS[V] = 0;
440
 
441 141 creep
                end
442
 
443
                // ASL - Arithmetic Shift Left
444
                ASL_ACC : begin
445 145 gabrielosh
                        //{STATUS[C],result} = A << 1;
446
                        {STATUS[C],result} = {A,1'b0};
447 141 creep
                end
448
                ASL_ZPG, ASL_ZPX, ASL_ABS, ASL_ABX : begin
449 145 gabrielosh
                        //{STATUS[C],result} = alu_a << 1;
450
                        {STATUS[C],result} = {alu_a,1'b0};
451 141 creep
                end
452
 
453
                // LSR - Logical Shift Right
454
                LSR_ACC: begin
455 145 gabrielosh
                        //{result, STATUS[C]} = A >> 1;
456
                        {result,STATUS[C]} = {1'b0,A};
457 141 creep
                end
458
                LSR_ZPG, LSR_ZPX, LSR_ABS, LSR_ABX : begin
459 145 gabrielosh
                        //{result, STATUS[C]} = alu_a >> 1;
460
                        {result,STATUS[C]} = {1'b0,alu_a};
461 141 creep
                end
462
 
463
                // ROL - Rotate Left
464
                ROL_ACC : begin
465 152 gabrielosh
                        {STATUS[C],result} = {A,alu_status[C]};
466 141 creep
                end
467
                ROL_ZPG, ROL_ZPX, ROL_ABS, ROL_ABX : begin
468
                        {STATUS[C],result} = {alu_a,alu_status[C]};
469
                end
470
 
471 152 gabrielosh
                // ROR - Rotate Right
472 141 creep
                ROR_ACC : begin
473
                        {result,STATUS[C]} = {alu_status[C],A};
474
                end
475
                ROR_ZPG, ROR_ZPX, ROR_ABS, ROR_ABX : begin
476
                        {result, STATUS[C]} = {alu_status[C], alu_a};
477
                end
478
 
479
                // CPX - Compare X Register
480
                CPX_IMM, CPX_ZPG, CPX_ABS : begin
481
                        result = X - alu_a;
482
                        STATUS[C] = (X >= alu_a) ? 1 : 0;
483
                end
484
 
485
                // CPY - Compare Y Register
486
                CPY_IMM, CPY_ZPG, CPY_ABS : begin
487
                        result = Y - alu_a;
488
                        STATUS[C] = (Y >= alu_a) ? 1 : 0;
489
                end
490
 
491
                default: begin // NON-DEFAULT OPCODES FALL HERE
492 142 gabrielosh
                end
493 141 creep
        endcase
494 142 gabrielosh
        STATUS[Z] = (result == 0) ? 1 : 0;
495
        STATUS[N] = result[7];
496 141 creep
end
497 175 gabrielosh
end
498 141 creep
endmodule
499
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.