OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [t6507lp_alu.v] - Blame information for rev 178

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 141 creep
////////////////////////////////////////////////////////////////////////////
2 152 gabrielosh
////                                                                    ////
3
//// T6507LP IP Core                                                    ////
4
////                                                                    ////
5
//// This file is part of the T6507LP project                           ////
6
//// http://www.opencores.org/cores/t6507lp/                            ////
7
////                                                                    ////
8
//// Description                                                        ////
9
//// 6507 ALU                                                           ////
10
////                                                                    ////
11
//// To Do:                                                             ////
12
//// - Search for TODO                                                  ////
13
////                                                                    ////
14
//// Author(s):                                                         ////
15
//// - Gabriel Oshiro Zardo, gabrieloshiro@gmail.com                    ////
16
//// - Samuel Nascimento Pagliarini (creep), snpagliarini@gmail.com     ////
17
////                                                                    ////
18 141 creep
////////////////////////////////////////////////////////////////////////////
19 152 gabrielosh
////                                                                    ////
20
//// Copyright (C) 2001 Authors and OPENCORES.ORG                       ////
21
////                                                                    ////
22
//// This source file may be used and distributed without               ////
23
//// restriction provided that this copyright statement is not          ////
24
//// removed from the file and that any derivative work contains        ////
25
//// the original copyright notice and the associated disclaimer.       ////
26
////                                                                    ////
27
//// This source file is free software; you can redistribute it         ////
28
//// and/or modify it under the terms of the GNU Lesser General         ////
29
//// Public License as published by the Free Software Foundation;       ////
30
//// either version 2.1 of the License, or (at your option) any         ////
31
//// later version.                                                     ////
32
////                                                                    ////
33
//// This source is distributed in the hope that it will be             ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied         ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR            ////
36
//// PURPOSE. See the GNU Lesser General Public License for more        ////
37
//// details.                                                           ////
38
////                                                                    ////
39
//// You should have received a copy of the GNU Lesser General          ////
40
//// Public License along with this source; if not, download it         ////
41
//// from http://www.opencores.org/lgpl.shtml                           ////
42
////                                                                    ////
43 141 creep
////////////////////////////////////////////////////////////////////////////
44
 
45
`include "timescale.v"
46
 
47
// TODO: verify code identation
48
 
49
module t6507lp_alu( clk, reset_n, alu_enable, alu_result, alu_status, alu_opcode, alu_a, alu_x, alu_y );
50
 
51
input wire       clk;
52
input wire       reset_n;
53
input wire       alu_enable;
54
input wire [7:0] alu_opcode;
55
input wire [7:0] alu_a;
56
output reg [7:0] alu_result;
57
output reg [7:0] alu_status;
58
output reg [7:0] alu_x;
59
output reg [7:0] alu_y;
60
 
61
reg [7:0] A;
62
reg [7:0] X;
63
reg [7:0] Y;
64
 
65
reg [7:0] STATUS;
66
reg [7:0] result;
67 152 gabrielosh
reg [7:0] op1;
68
reg [7:0] op2;
69 161 gabrielosh
reg [7:0] bcdl;
70
reg [7:0] bcdh;
71 164 gabrielosh
reg [7:0] bcdh2;
72
reg [7:0] AL;
73
reg [7:0] AH;
74 173 gabrielosh
reg C_aux;
75
reg sign;
76 141 creep
 
77
`include "t6507lp_package.v"
78
 
79
always @ (posedge clk or negedge reset_n)
80
begin
81
        if (reset_n == 0) begin
82
                alu_result <= 0;
83
                alu_status[C] <= 0;
84
                alu_status[N] <= 0;
85
                alu_status[V] <= 0;
86 148 gabrielosh
                alu_status[5] <= 1;
87 141 creep
                alu_status[Z] <= 1;
88
                alu_status[I] <= 0;
89
                alu_status[B] <= 0;
90
                alu_status[D] <= 0;
91
                A <= 0;
92
                X <= 0;
93
                Y <= 0;
94
                alu_x <= 0;
95
                alu_y <= 0;
96
        end
97
        else if ( alu_enable == 1 ) begin
98
                case (alu_opcode)
99
                        ADC_IMM, ADC_ZPG, ADC_ZPX, ADC_ABS, ADC_ABX, ADC_ABY, ADC_IDX, ADC_IDY,
100
                        AND_IMM, AND_ZPG, AND_ZPX, AND_ABS, AND_ABX, AND_ABY, AND_IDX, AND_IDY,
101
                        ASL_ACC, EOR_IMM, EOR_ZPG, EOR_ZPX, EOR_ABS, EOR_ABX, EOR_ABY, EOR_IDX,
102
                        EOR_IDY, LSR_ACC, ORA_IMM, ORA_ZPG, ORA_ZPX, ORA_ABS, ORA_ABX, ORA_ABY,
103
                        ORA_IDX, ORA_IDY, ROL_ACC, ROR_ACC, SBC_IMM, SBC_ZPG, SBC_ZPX, SBC_ABS,
104
                        SBC_ABX, SBC_ABY, SBC_IDX, SBC_IDY, LDA_IMM, LDA_ZPG, LDA_ZPX, LDA_ABS,
105
                        LDA_ABX, LDA_ABY, LDA_IDX, LDA_IDY, PLA_IMP, TXA_IMP, TYA_IMP :
106
                        begin
107
                                A          <= result;
108
                                alu_result <= result;
109
                                alu_status <= STATUS;
110
                        end
111
                        LDX_IMM, LDX_ZPG, LDX_ZPY, LDX_ABS, LDX_ABY, TAX_IMP, TSX_IMP, INX_IMP, DEX_IMP :
112
                        begin
113
                                X          <= result;
114
                                alu_x      <= result;
115
                                alu_status <= STATUS;
116
                        end
117
                        TXS_IMP :
118
                        begin
119 148 gabrielosh
                                X          <= result;
120
                                alu_x      <= result;
121 141 creep
                        end
122
                        LDY_IMM, LDY_ZPG, LDY_ZPX, LDY_ABS, LDY_ABX, TAY_IMP, INY_IMP, DEY_IMP :
123
                        begin
124
                                Y          <= result;
125
                                alu_y      <= result;
126
                                alu_status <= STATUS;
127
                        end
128 148 gabrielosh
                        CMP_IMM, CMP_ZPG, CMP_ZPX, CMP_ABS, CMP_ABX, CMP_ABY, CMP_IDX, CMP_IDY,
129 165 gabrielosh
                        CPX_IMM, CPX_ZPG, CPX_ABS, CPY_IMM, CPY_ZPG, CPY_ABS :
130 141 creep
                        begin
131
                                alu_status <= STATUS;
132
                        end
133 178 gabrielosh
                        PHA_IMP, STA_ZPG, STA_ZPX, STA_ABS, STA_ABX, STA_ABY, STA_IDX, STA_IDY : begin
134 158 gabrielosh
                                alu_result <= result;
135
                        end
136 178 gabrielosh
                        STX_ZPG, STX_ZPY, STX_ABS : begin
137
                                alu_x <= result;
138
                        end
139
                        STY_ZPG, STY_ZPX, STY_ABS : begin
140
                                alu_y <= result;
141
                        end
142 141 creep
                        SEC_IMP :
143
                        begin
144
                                alu_status[C] <= 1;
145
                        end
146
                        SED_IMP :
147
                        begin
148
                                alu_status[D] <= 1;
149
                        end
150
                        SEI_IMP :
151
                        begin
152
                                alu_status[I] <= 1;
153
                        end
154
                        CLC_IMP :
155
                        begin
156
                                alu_status[C] <= 0;
157
                        end
158
                        CLD_IMP :
159
                        begin
160
                                alu_status[D] <= 0;
161
                        end
162
                        CLI_IMP :
163
                        begin
164
                                alu_status[I] <= 0;
165
                        end
166
                        CLV_IMP :
167
                        begin
168
                                alu_status[V] <= 0;
169
                        end
170
                        BRK_IMP :
171
                        begin
172 154 gabrielosh
                                alu_status[B] <= 1;
173 141 creep
                        end
174 171 creep
                        PLP_IMP, RTI_IMP :
175 141 creep
                        begin
176 175 gabrielosh
                                alu_status[C] <= alu_a[C];
177
                                alu_status[Z] <= alu_a[Z];
178
                                alu_status[I] <= alu_a[I];
179
                                alu_status[D] <= alu_a[D];
180
                                alu_status[B] <= alu_a[B];
181
                                alu_status[V] <= alu_a[V];
182
                                alu_status[N] <= alu_a[N];
183 173 gabrielosh
                                alu_status[5] <= 1;
184 141 creep
                        end
185
                        BIT_ZPG, BIT_ABS :
186
                        begin
187
                                alu_status[Z] <= STATUS[Z];
188
                                alu_status[V] <= alu_a[6];
189
                                alu_status[N] <= alu_a[7];
190
                        end
191 148 gabrielosh
                        INC_ZPG, INC_ZPX, INC_ABS, INC_ABX, DEC_ZPG, DEC_ZPX, DEC_ABS, DEC_ABX,
192
                        ASL_ZPG, ASL_ZPX, ASL_ABS, ASL_ABX, LSR_ZPG, LSR_ZPX, LSR_ABS, LSR_ABX,
193
                        ROL_ZPG, ROL_ZPX, ROL_ABS, ROL_ABX, ROR_ZPG, ROR_ZPX, ROR_ABS, ROR_ABX :
194 141 creep
                        begin
195
                                alu_result <= result;
196
                                alu_status <= STATUS;
197
                        end
198 173 gabrielosh
                        //PHP_IMP : begin
199
                        //end
200 141 creep
                        default : begin
201
                                //$display("ERROR");
202
                        end
203
                endcase
204
        end
205
end
206
 
207
always @ (*) begin
208 175 gabrielosh
if (alu_enable == 1) begin
209 152 gabrielosh
        op1      = A;
210
        op2      = alu_a;
211 150 gabrielosh
        result    = alu_result;
212
        STATUS[N] = alu_status[N];
213
        STATUS[C] = alu_status[C];
214
        STATUS[V] = alu_status[V];
215
        STATUS[B] = alu_status[B];
216
        STATUS[I] = alu_status[I];
217
        STATUS[D] = alu_status[D];
218
        STATUS[Z] = alu_status[Z];
219
        STATUS[N] = alu_status[N];
220 151 gabrielosh
        STATUS[5] = 1;
221 141 creep
 
222 171 creep
        bcdl = 0;
223
        bcdh = 0;
224
        bcdh2 = 0;
225
        AL = 0;
226
        AH = 0;
227 173 gabrielosh
        sign = op2[7];
228 171 creep
 
229 141 creep
        case (alu_opcode)
230
                // BIT - Bit Test
231
                BIT_ZPG, BIT_ABS: begin
232
                        result = A & alu_a;
233
                end
234
 
235
                // BRK - Force Interrupt
236
                BRK_IMP: begin
237
                        STATUS[B] = 1'b1;
238
                end
239
 
240
                // CLC - Clear Carry Flag
241
                CLC_IMP: begin
242
                        STATUS[C] = 1'b0;
243
                end
244
 
245
                // CLD - Clear Decimal Flag
246
                CLD_IMP: begin
247
                        STATUS[D] = 1'b0;
248
                end
249
 
250
                // CLI - Clear Interrupt Disable
251
                CLI_IMP: begin
252
                        STATUS[I] = 1'b0;
253
                end
254
 
255
                // CLV - Clear Overflow Flag
256
                CLV_IMP: begin
257
                        STATUS[V] = 1'b0;
258
                end
259
 
260
                // NOP - No Operation
261
                //NOP_IMP: begin
262
                        // Do nothing :-D
263
                //end
264
 
265
                // PLP - Pull Processor Status Register
266 175 gabrielosh
                // RTI - Return from Interrupt
267 176 gabrielosh
                //PLP_IMP, RTI_IMP: begin
268
                //      STATUS = alu_a;
269
                //end
270 157 gabrielosh
 
271
                PLA_IMP : begin
272
                        result = alu_a;
273
                end
274 141 creep
 
275
                // STA - Store Accumulator
276
                // PHA - Push A
277
                // TAX - Transfer Accumulator to X
278
                // TAY - Transfer Accumulator to Y
279
                TAX_IMP, TAY_IMP, PHA_IMP, STA_ZPG, STA_ZPX, STA_ABS, STA_ABX, STA_ABY, STA_IDX, STA_IDY : begin
280
                        result = A;
281
                end
282
 
283
                // STX - Store X Register
284
                // TXA - Transfer X to Accumulator
285
                // TXS - Transfer X to Stack pointer
286
                STX_ZPG, STX_ZPY, STX_ABS, TXA_IMP, TXS_IMP : begin
287
                        result = X;
288
                end
289
 
290
                // STY - Store Y Register
291
                // TYA - Transfer Y to Accumulator
292
                STY_ZPG, STY_ZPX, STY_ABS, TYA_IMP : begin
293
                        result = Y;
294
                end
295
 
296
                // SEC - Set Carry Flag
297
                SEC_IMP: begin
298
                        STATUS[C] = 1'b1;
299
                end
300
 
301
                // SED - Set Decimal Flag
302
                SED_IMP: begin
303
                        STATUS[D] = 1'b1;
304
                end
305
 
306
                // SEI - Set Interrupt Disable
307
                SEI_IMP: begin
308
                        STATUS[I] = 1'b1;
309
                end
310
 
311
                // INC - Increment memory
312
                INC_ZPG, INC_ZPX, INC_ABS, INC_ABX : begin
313
                        result = alu_a + 1;
314
                end
315
 
316
                // INX - Increment X Register
317
                INX_IMP: begin
318
                        result = X + 1;
319
                end
320
 
321
                // INY - Increment Y Register
322
                INY_IMP : begin
323
                        result = Y + 1;
324
                end
325
 
326
                // DEC - Decrement memory
327
                DEC_ZPG, DEC_ZPX, DEC_ABS, DEC_ABX : begin
328
                        result = alu_a - 1;
329
                end
330
 
331
                // DEX - Decrement X register
332
                DEX_IMP: begin
333
                        result = X - 1;
334
                end
335
 
336
                // DEY - Decrement Y Register
337
                DEY_IMP: begin
338
                        result = Y - 1;
339
                end
340
 
341
                // ADC - Add with carry
342 162 gabrielosh
                // TODO: verify synthesis for % operand
343 141 creep
                ADC_IMM, ADC_ZPG, ADC_ZPX, ADC_ABS, ADC_ABX, ADC_ABY, ADC_IDX, ADC_IDY : begin
344
                        if (alu_status[D] == 1) begin
345 171 creep
                                //$display("MODO DECIMAL");
346 164 gabrielosh
                                AL = A[3:0] + alu_a[3:0] + alu_status[C];
347
                                AH = A[7:4] + alu_a[7:4];
348 171 creep
                                //$display("AL = %d", AL);
349
                                //$display("AH = %d", AH);
350 164 gabrielosh
                                if (AL > 9) begin
351
                                        bcdh = AH + (AL / 10);
352
                                        bcdl = AL % 10;
353 141 creep
                                end
354 171 creep
                                else begin
355
                                        bcdh = AH;
356
                                        bcdl = AL;
357
                                end
358
 
359
                                // ok
360
 
361
                                if (bcdh > 9) begin
362 161 gabrielosh
                                        STATUS[C] = 1;
363 164 gabrielosh
                                        bcdh2 = bcdh % 10;
364 161 gabrielosh
                                end
365 171 creep
                                else begin
366
                                        STATUS[C] = 0;
367
                                        bcdh2 = bcdh;
368
                                end
369
                                //$display("bcdh2 = %d", bcdh2);
370
                                //$display("bcdl = %d", bcdl);
371 164 gabrielosh
                                result = {bcdh2[3:0],bcdl[3:0]};
372 141 creep
                        end
373 165 gabrielosh
                        else begin
374 171 creep
                                //$display("MODO NORMAL");
375 161 gabrielosh
                                {STATUS[C],result} = op1 + op2 + alu_status[C];
376 165 gabrielosh
                        end
377 162 gabrielosh
 
378 152 gabrielosh
                        if ((op1[7] == op2[7]) && (op1[7] != result[7]))
379 141 creep
                                STATUS[V] = 1;
380
                        else
381
                                STATUS[V] = 0;
382
                end
383
 
384
                // AND - Logical AND
385
                AND_IMM, AND_ZPG, AND_ZPX, AND_ABS, AND_ABX, AND_ABY, AND_IDX, AND_IDY : begin
386
                        result = A & alu_a;
387
                end
388
 
389
                // CMP - Compare
390
                CMP_IMM, CMP_ZPG, CMP_ZPX, CMP_ABS, CMP_ABX, CMP_ABY, CMP_IDX, CMP_IDY : begin
391
                        result = A - alu_a;
392
                        STATUS[C] = (A >= alu_a) ? 1 : 0;
393
                end
394
 
395
                // EOR - Exclusive OR
396
                EOR_IMM, EOR_ZPG, EOR_ZPX, EOR_ABS, EOR_ABX, EOR_ABY, EOR_IDX, EOR_IDY : begin
397 156 gabrielosh
                        result = A ^ alu_a;
398 158 gabrielosh
                        //$display("op1 ^ op2 = result");
399
                        //$display("%d  ^ %d  = %d", op1, op2, result);
400 141 creep
                end
401
 
402
                // LDA - Load Accumulator
403
                // LDX - Load X Register
404
                // LDY - Load Y Register
405
                // TSX - Transfer Stack Pointer to X
406
                LDA_IMM, LDA_ZPG, LDA_ZPX, LDA_ABS, LDA_ABX, LDA_ABY, LDA_IDX, LDA_IDY,
407
                LDX_IMM, LDX_ZPG, LDX_ZPY, LDX_ABS, LDX_ABY,
408
                LDY_IMM, LDY_ZPG, LDY_ZPX, LDY_ABS, LDY_ABX,
409
                TSX_IMP : begin
410
                        result = alu_a;
411
                end
412
 
413
                // ORA - Logical OR
414
                ORA_IMM, ORA_ZPG, ORA_ZPX, ORA_ABS, ORA_ABX, ORA_ABY, ORA_IDX, ORA_IDY : begin
415
                        result = A | alu_a;
416
                end
417
 
418
                // SBC - Subtract with Carry
419
                SBC_IMM, SBC_ZPG, SBC_ZPX, SBC_ABS, SBC_ABX, SBC_ABY, SBC_IDX, SBC_IDY : begin
420 174 gabrielosh
                        if (alu_status[D] == 1) begin
421 178 gabrielosh
                                bcdl = op1[3:0] - op2[3:0] - (1 - alu_status[C]);
422
                                bcdh = op1[7:4] - op2[7:4];
423 162 gabrielosh
                                if (bcdl > 9) begin
424
                                        bcdh = bcdh + bcdl[5:4];
425
                                        bcdl = bcdl % 10;
426
                                end
427
                                if (bcdh > 9) begin
428
                                        STATUS[C] = 1;
429
                                        bcdh = bcdh % 10;
430
                                end
431 163 gabrielosh
                                result = {bcdh[3:0],bcdl[3:0]};
432 162 gabrielosh
                        end
433 173 gabrielosh
                        else begin
434 178 gabrielosh
                                op2 = ~alu_a;
435
                                result = op1 + op2 + alu_status[C];
436 174 gabrielosh
                                STATUS[C] = ~result[7];
437 173 gabrielosh
                        end
438
 
439 162 gabrielosh
 
440 173 gabrielosh
                        if ((op1[7] == sign) && (op1[7] != result[7]))
441 162 gabrielosh
                                STATUS[V] = 1;
442
                        else
443
                                STATUS[V] = 0;
444
 
445 141 creep
                end
446
 
447
                // ASL - Arithmetic Shift Left
448
                ASL_ACC : begin
449 145 gabrielosh
                        //{STATUS[C],result} = A << 1;
450
                        {STATUS[C],result} = {A,1'b0};
451 141 creep
                end
452
                ASL_ZPG, ASL_ZPX, ASL_ABS, ASL_ABX : begin
453 145 gabrielosh
                        //{STATUS[C],result} = alu_a << 1;
454
                        {STATUS[C],result} = {alu_a,1'b0};
455 141 creep
                end
456
 
457
                // LSR - Logical Shift Right
458
                LSR_ACC: begin
459 145 gabrielosh
                        //{result, STATUS[C]} = A >> 1;
460
                        {result,STATUS[C]} = {1'b0,A};
461 141 creep
                end
462
                LSR_ZPG, LSR_ZPX, LSR_ABS, LSR_ABX : begin
463 145 gabrielosh
                        //{result, STATUS[C]} = alu_a >> 1;
464
                        {result,STATUS[C]} = {1'b0,alu_a};
465 141 creep
                end
466
 
467
                // ROL - Rotate Left
468
                ROL_ACC : begin
469 152 gabrielosh
                        {STATUS[C],result} = {A,alu_status[C]};
470 141 creep
                end
471
                ROL_ZPG, ROL_ZPX, ROL_ABS, ROL_ABX : begin
472
                        {STATUS[C],result} = {alu_a,alu_status[C]};
473
                end
474
 
475 152 gabrielosh
                // ROR - Rotate Right
476 141 creep
                ROR_ACC : begin
477
                        {result,STATUS[C]} = {alu_status[C],A};
478
                end
479
                ROR_ZPG, ROR_ZPX, ROR_ABS, ROR_ABX : begin
480
                        {result, STATUS[C]} = {alu_status[C], alu_a};
481
                end
482
 
483
                // CPX - Compare X Register
484
                CPX_IMM, CPX_ZPG, CPX_ABS : begin
485
                        result = X - alu_a;
486
                        STATUS[C] = (X >= alu_a) ? 1 : 0;
487
                end
488
 
489
                // CPY - Compare Y Register
490
                CPY_IMM, CPY_ZPG, CPY_ABS : begin
491
                        result = Y - alu_a;
492
                        STATUS[C] = (Y >= alu_a) ? 1 : 0;
493
                end
494
 
495
                default: begin // NON-DEFAULT OPCODES FALL HERE
496 142 gabrielosh
                end
497 141 creep
        endcase
498 142 gabrielosh
        STATUS[Z] = (result == 0) ? 1 : 0;
499
        STATUS[N] = result[7];
500 141 creep
end
501 175 gabrielosh
end
502 141 creep
endmodule
503
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.