OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [t6507lp_alu.v] - Blame information for rev 181

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 141 creep
////////////////////////////////////////////////////////////////////////////
2 152 gabrielosh
////                                                                    ////
3
//// T6507LP IP Core                                                    ////
4
////                                                                    ////
5
//// This file is part of the T6507LP project                           ////
6
//// http://www.opencores.org/cores/t6507lp/                            ////
7
////                                                                    ////
8
//// Description                                                        ////
9
//// 6507 ALU                                                           ////
10
////                                                                    ////
11
//// To Do:                                                             ////
12
//// - Search for TODO                                                  ////
13
////                                                                    ////
14
//// Author(s):                                                         ////
15
//// - Gabriel Oshiro Zardo, gabrieloshiro@gmail.com                    ////
16
//// - Samuel Nascimento Pagliarini (creep), snpagliarini@gmail.com     ////
17
////                                                                    ////
18 141 creep
////////////////////////////////////////////////////////////////////////////
19 152 gabrielosh
////                                                                    ////
20
//// Copyright (C) 2001 Authors and OPENCORES.ORG                       ////
21
////                                                                    ////
22
//// This source file may be used and distributed without               ////
23
//// restriction provided that this copyright statement is not          ////
24
//// removed from the file and that any derivative work contains        ////
25
//// the original copyright notice and the associated disclaimer.       ////
26
////                                                                    ////
27
//// This source file is free software; you can redistribute it         ////
28
//// and/or modify it under the terms of the GNU Lesser General         ////
29
//// Public License as published by the Free Software Foundation;       ////
30
//// either version 2.1 of the License, or (at your option) any         ////
31
//// later version.                                                     ////
32
////                                                                    ////
33
//// This source is distributed in the hope that it will be             ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied         ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR            ////
36
//// PURPOSE. See the GNU Lesser General Public License for more        ////
37
//// details.                                                           ////
38
////                                                                    ////
39
//// You should have received a copy of the GNU Lesser General          ////
40
//// Public License along with this source; if not, download it         ////
41
//// from http://www.opencores.org/lgpl.shtml                           ////
42
////                                                                    ////
43 141 creep
////////////////////////////////////////////////////////////////////////////
44
 
45
`include "timescale.v"
46
 
47
// TODO: verify code identation
48
 
49
module t6507lp_alu( clk, reset_n, alu_enable, alu_result, alu_status, alu_opcode, alu_a, alu_x, alu_y );
50
 
51
input wire       clk;
52
input wire       reset_n;
53
input wire       alu_enable;
54
input wire [7:0] alu_opcode;
55
input wire [7:0] alu_a;
56
output reg [7:0] alu_result;
57
output reg [7:0] alu_status;
58
output reg [7:0] alu_x;
59
output reg [7:0] alu_y;
60
 
61 181 gabrielosh
//reg [7:0] A;
62
//reg [7:0] X;
63
//reg [7:0] Y;
64 141 creep
 
65
reg [7:0] STATUS;
66
reg [7:0] result;
67 152 gabrielosh
reg [7:0] op1;
68
reg [7:0] op2;
69 161 gabrielosh
reg [7:0] bcdl;
70
reg [7:0] bcdh;
71 164 gabrielosh
reg [7:0] bcdh2;
72
reg [7:0] AL;
73
reg [7:0] AH;
74 181 gabrielosh
//reg C_aux;
75 173 gabrielosh
reg sign;
76 141 creep
 
77
`include "t6507lp_package.v"
78
 
79
always @ (posedge clk or negedge reset_n)
80
begin
81
        if (reset_n == 0) begin
82
                alu_result <= 0;
83
                alu_status[C] <= 0;
84
                alu_status[N] <= 0;
85
                alu_status[V] <= 0;
86 148 gabrielosh
                alu_status[5] <= 1;
87 141 creep
                alu_status[Z] <= 1;
88
                alu_status[I] <= 0;
89
                alu_status[B] <= 0;
90
                alu_status[D] <= 0;
91 181 gabrielosh
                //A <= 0;
92
                //X <= 0;
93
                //Y <= 0;
94 141 creep
                alu_x <= 0;
95
                alu_y <= 0;
96
        end
97
        else if ( alu_enable == 1 ) begin
98
                case (alu_opcode)
99
                        ADC_IMM, ADC_ZPG, ADC_ZPX, ADC_ABS, ADC_ABX, ADC_ABY, ADC_IDX, ADC_IDY,
100
                        AND_IMM, AND_ZPG, AND_ZPX, AND_ABS, AND_ABX, AND_ABY, AND_IDX, AND_IDY,
101
                        ASL_ACC, EOR_IMM, EOR_ZPG, EOR_ZPX, EOR_ABS, EOR_ABX, EOR_ABY, EOR_IDX,
102
                        EOR_IDY, LSR_ACC, ORA_IMM, ORA_ZPG, ORA_ZPX, ORA_ABS, ORA_ABX, ORA_ABY,
103
                        ORA_IDX, ORA_IDY, ROL_ACC, ROR_ACC, SBC_IMM, SBC_ZPG, SBC_ZPX, SBC_ABS,
104
                        SBC_ABX, SBC_ABY, SBC_IDX, SBC_IDY, LDA_IMM, LDA_ZPG, LDA_ZPX, LDA_ABS,
105
                        LDA_ABX, LDA_ABY, LDA_IDX, LDA_IDY, PLA_IMP, TXA_IMP, TYA_IMP :
106
                        begin
107 181 gabrielosh
                                //A          <= result;
108 141 creep
                                alu_result <= result;
109
                                alu_status <= STATUS;
110
                        end
111
                        LDX_IMM, LDX_ZPG, LDX_ZPY, LDX_ABS, LDX_ABY, TAX_IMP, TSX_IMP, INX_IMP, DEX_IMP :
112
                        begin
113 181 gabrielosh
                                //X          <= result;
114 141 creep
                                alu_x      <= result;
115
                                alu_status <= STATUS;
116
                        end
117
                        TXS_IMP :
118
                        begin
119 181 gabrielosh
                                //X          <= result;
120 148 gabrielosh
                                alu_x      <= result;
121 141 creep
                        end
122
                        LDY_IMM, LDY_ZPG, LDY_ZPX, LDY_ABS, LDY_ABX, TAY_IMP, INY_IMP, DEY_IMP :
123
                        begin
124 181 gabrielosh
                                //Y          <= result;
125 141 creep
                                alu_y      <= result;
126
                                alu_status <= STATUS;
127
                        end
128 148 gabrielosh
                        CMP_IMM, CMP_ZPG, CMP_ZPX, CMP_ABS, CMP_ABX, CMP_ABY, CMP_IDX, CMP_IDY,
129 165 gabrielosh
                        CPX_IMM, CPX_ZPG, CPX_ABS, CPY_IMM, CPY_ZPG, CPY_ABS :
130 141 creep
                        begin
131
                                alu_status <= STATUS;
132
                        end
133 178 gabrielosh
                        PHA_IMP, STA_ZPG, STA_ZPX, STA_ABS, STA_ABX, STA_ABY, STA_IDX, STA_IDY : begin
134 158 gabrielosh
                                alu_result <= result;
135
                        end
136 178 gabrielosh
                        STX_ZPG, STX_ZPY, STX_ABS : begin
137
                                alu_x <= result;
138
                        end
139
                        STY_ZPG, STY_ZPX, STY_ABS : begin
140
                                alu_y <= result;
141
                        end
142 141 creep
                        SEC_IMP :
143
                        begin
144
                                alu_status[C] <= 1;
145
                        end
146
                        SED_IMP :
147
                        begin
148
                                alu_status[D] <= 1;
149
                        end
150
                        SEI_IMP :
151
                        begin
152
                                alu_status[I] <= 1;
153
                        end
154
                        CLC_IMP :
155
                        begin
156
                                alu_status[C] <= 0;
157
                        end
158
                        CLD_IMP :
159
                        begin
160
                                alu_status[D] <= 0;
161
                        end
162
                        CLI_IMP :
163
                        begin
164
                                alu_status[I] <= 0;
165
                        end
166
                        CLV_IMP :
167
                        begin
168
                                alu_status[V] <= 0;
169
                        end
170
                        BRK_IMP :
171
                        begin
172 154 gabrielosh
                                alu_status[B] <= 1;
173 141 creep
                        end
174 171 creep
                        PLP_IMP, RTI_IMP :
175 141 creep
                        begin
176 175 gabrielosh
                                alu_status[C] <= alu_a[C];
177
                                alu_status[Z] <= alu_a[Z];
178
                                alu_status[I] <= alu_a[I];
179
                                alu_status[D] <= alu_a[D];
180
                                alu_status[B] <= alu_a[B];
181
                                alu_status[V] <= alu_a[V];
182
                                alu_status[N] <= alu_a[N];
183 173 gabrielosh
                                alu_status[5] <= 1;
184 141 creep
                        end
185
                        BIT_ZPG, BIT_ABS :
186
                        begin
187
                                alu_status[Z] <= STATUS[Z];
188
                                alu_status[V] <= alu_a[6];
189
                                alu_status[N] <= alu_a[7];
190
                        end
191 148 gabrielosh
                        INC_ZPG, INC_ZPX, INC_ABS, INC_ABX, DEC_ZPG, DEC_ZPX, DEC_ABS, DEC_ABX,
192
                        ASL_ZPG, ASL_ZPX, ASL_ABS, ASL_ABX, LSR_ZPG, LSR_ZPX, LSR_ABS, LSR_ABX,
193
                        ROL_ZPG, ROL_ZPX, ROL_ABS, ROL_ABX, ROR_ZPG, ROR_ZPX, ROR_ABS, ROR_ABX :
194 141 creep
                        begin
195
                                alu_result <= result;
196
                                alu_status <= STATUS;
197
                        end
198 173 gabrielosh
                        //PHP_IMP : begin
199
                        //end
200 141 creep
                        default : begin
201
                                //$display("ERROR");
202
                        end
203
                endcase
204
        end
205
end
206
 
207
always @ (*) begin
208 175 gabrielosh
if (alu_enable == 1) begin
209 181 gabrielosh
        //op1      = A;
210
        op1      = alu_result;
211 152 gabrielosh
        op2      = alu_a;
212 150 gabrielosh
        result    = alu_result;
213
        STATUS[N] = alu_status[N];
214
        STATUS[C] = alu_status[C];
215
        STATUS[V] = alu_status[V];
216
        STATUS[B] = alu_status[B];
217
        STATUS[I] = alu_status[I];
218
        STATUS[D] = alu_status[D];
219
        STATUS[Z] = alu_status[Z];
220 151 gabrielosh
        STATUS[5] = 1;
221 141 creep
 
222 171 creep
        bcdl = 0;
223
        bcdh = 0;
224
        bcdh2 = 0;
225
        AL = 0;
226
        AH = 0;
227 173 gabrielosh
        sign = op2[7];
228 171 creep
 
229 141 creep
        case (alu_opcode)
230
                // BIT - Bit Test
231
                BIT_ZPG, BIT_ABS: begin
232 181 gabrielosh
                        //result = A & alu_a;
233
                        result = alu_result & alu_a;
234 141 creep
                end
235
 
236
                // BRK - Force Interrupt
237 181 gabrielosh
                //BRK_IMP: begin
238
                //      STATUS[B] = 1'b1;
239
                //end
240 141 creep
 
241
                // CLC - Clear Carry Flag
242 181 gabrielosh
                //CLC_IMP: begin
243
                //      STATUS[C] = 1'b0;
244
                //end
245 141 creep
 
246
                // CLD - Clear Decimal Flag
247 181 gabrielosh
                //CLD_IMP: begin
248
                //      STATUS[D] = 1'b0;
249
                //end
250 141 creep
 
251
                // CLI - Clear Interrupt Disable
252 181 gabrielosh
                //CLI_IMP: begin
253
                //      STATUS[I] = 1'b0;
254
                //end
255 141 creep
 
256
                // CLV - Clear Overflow Flag
257 181 gabrielosh
                //CLV_IMP: begin
258
                //      STATUS[V] = 1'b0;
259
                //end
260 141 creep
 
261
                // NOP - No Operation
262
                //NOP_IMP: begin
263
                        // Do nothing :-D
264
                //end
265
 
266
                // PLP - Pull Processor Status Register
267 175 gabrielosh
                // RTI - Return from Interrupt
268 176 gabrielosh
                //PLP_IMP, RTI_IMP: begin
269
                //      STATUS = alu_a;
270
                //end
271 157 gabrielosh
 
272
                PLA_IMP : begin
273
                        result = alu_a;
274
                end
275 141 creep
 
276
                // STA - Store Accumulator
277
                // PHA - Push A
278
                // TAX - Transfer Accumulator to X
279
                // TAY - Transfer Accumulator to Y
280
                TAX_IMP, TAY_IMP, PHA_IMP, STA_ZPG, STA_ZPX, STA_ABS, STA_ABX, STA_ABY, STA_IDX, STA_IDY : begin
281 181 gabrielosh
                        //result = A;
282
                        result = alu_result;
283 141 creep
                end
284
 
285
                // STX - Store X Register
286
                // TXA - Transfer X to Accumulator
287
                // TXS - Transfer X to Stack pointer
288
                STX_ZPG, STX_ZPY, STX_ABS, TXA_IMP, TXS_IMP : begin
289 181 gabrielosh
                        //result = X;
290
                        result = alu_x;
291 141 creep
                end
292
 
293
                // STY - Store Y Register
294
                // TYA - Transfer Y to Accumulator
295
                STY_ZPG, STY_ZPX, STY_ABS, TYA_IMP : begin
296 181 gabrielosh
                        //result = Y;
297
                        result = alu_y;
298 141 creep
                end
299
 
300
                // SEC - Set Carry Flag
301 181 gabrielosh
                //SEC_IMP: begin
302
                //      STATUS[C] = 1'b1;
303
                //end
304 141 creep
 
305
                // SED - Set Decimal Flag
306 181 gabrielosh
                //SED_IMP: begin
307
                //      STATUS[D] = 1'b1;
308
                //end
309 141 creep
 
310
                // SEI - Set Interrupt Disable
311 181 gabrielosh
                //SEI_IMP: begin
312
                //      STATUS[I] = 1'b1;
313
                //end
314 141 creep
 
315
                // INC - Increment memory
316
                INC_ZPG, INC_ZPX, INC_ABS, INC_ABX : begin
317
                        result = alu_a + 1;
318
                end
319
 
320
                // INX - Increment X Register
321
                INX_IMP: begin
322 181 gabrielosh
                        //result = X + 1;
323
                        result = alu_x + 1;
324 141 creep
                end
325
 
326
                // INY - Increment Y Register
327
                INY_IMP : begin
328 181 gabrielosh
                        //result = Y + 1;
329
                        result = alu_y + 1;
330 141 creep
                end
331
 
332
                // DEC - Decrement memory
333
                DEC_ZPG, DEC_ZPX, DEC_ABS, DEC_ABX : begin
334
                        result = alu_a - 1;
335
                end
336
 
337
                // DEX - Decrement X register
338
                DEX_IMP: begin
339 181 gabrielosh
                        //result = X - 1;
340
                        result = alu_x - 1;
341 141 creep
                end
342
 
343
                // DEY - Decrement Y Register
344
                DEY_IMP: begin
345 181 gabrielosh
                        //result = Y - 1;
346
                        result = alu_y - 1;
347 141 creep
                end
348
 
349
                // ADC - Add with carry
350 162 gabrielosh
                // TODO: verify synthesis for % operand
351 141 creep
                ADC_IMM, ADC_ZPG, ADC_ZPX, ADC_ABS, ADC_ABX, ADC_ABY, ADC_IDX, ADC_IDY : begin
352
                        if (alu_status[D] == 1) begin
353 171 creep
                                //$display("MODO DECIMAL");
354 181 gabrielosh
                                //AL = A[3:0] + alu_a[3:0] + alu_status[C];
355
                                AL = op1[3:0] + op2[3:0] + alu_status[C];
356
                                //AH = A[7:4] + alu_a[7:4];
357
                                AH = op1[7:4] + op2[7:4];
358
                                $display("AL = %d", AL);
359
                                $display("AH = %d", AH);
360 164 gabrielosh
                                if (AL > 9) begin
361
                                        bcdh = AH + (AL / 10);
362
                                        bcdl = AL % 10;
363 141 creep
                                end
364 171 creep
                                else begin
365
                                        bcdh = AH;
366
                                        bcdl = AL;
367
                                end
368
 
369
                                // ok
370
 
371
                                if (bcdh > 9) begin
372 161 gabrielosh
                                        STATUS[C] = 1;
373 164 gabrielosh
                                        bcdh2 = bcdh % 10;
374 161 gabrielosh
                                end
375 171 creep
                                else begin
376
                                        STATUS[C] = 0;
377
                                        bcdh2 = bcdh;
378
                                end
379
                                //$display("bcdh2 = %d", bcdh2);
380
                                //$display("bcdl = %d", bcdl);
381 164 gabrielosh
                                result = {bcdh2[3:0],bcdl[3:0]};
382 141 creep
                        end
383 165 gabrielosh
                        else begin
384 171 creep
                                //$display("MODO NORMAL");
385 161 gabrielosh
                                {STATUS[C],result} = op1 + op2 + alu_status[C];
386 165 gabrielosh
                        end
387 162 gabrielosh
 
388 152 gabrielosh
                        if ((op1[7] == op2[7]) && (op1[7] != result[7]))
389 141 creep
                                STATUS[V] = 1;
390
                        else
391
                                STATUS[V] = 0;
392
                end
393
 
394
                // AND - Logical AND
395
                AND_IMM, AND_ZPG, AND_ZPX, AND_ABS, AND_ABX, AND_ABY, AND_IDX, AND_IDY : begin
396 181 gabrielosh
                        //result = A & alu_a;
397
                        result = alu_result & alu_a;
398 141 creep
                end
399
 
400
                // CMP - Compare
401
                CMP_IMM, CMP_ZPG, CMP_ZPX, CMP_ABS, CMP_ABX, CMP_ABY, CMP_IDX, CMP_IDY : begin
402 181 gabrielosh
                        //result = A - alu_a;
403
                        result = alu_result - alu_a;
404
                        //STATUS[C] = (A >= alu_a) ? 1 : 0;
405
                        STATUS[C] = (alu_result >= alu_a) ? 1 : 0;
406 141 creep
                end
407
 
408
                // EOR - Exclusive OR
409
                EOR_IMM, EOR_ZPG, EOR_ZPX, EOR_ABS, EOR_ABX, EOR_ABY, EOR_IDX, EOR_IDY : begin
410 181 gabrielosh
                        result = alu_result ^ alu_a;
411
                        //result = A ^ alu_a;
412 158 gabrielosh
                        //$display("op1 ^ op2 = result");
413
                        //$display("%d  ^ %d  = %d", op1, op2, result);
414 141 creep
                end
415
 
416
                // LDA - Load Accumulator
417
                // LDX - Load X Register
418
                // LDY - Load Y Register
419
                // TSX - Transfer Stack Pointer to X
420
                LDA_IMM, LDA_ZPG, LDA_ZPX, LDA_ABS, LDA_ABX, LDA_ABY, LDA_IDX, LDA_IDY,
421
                LDX_IMM, LDX_ZPG, LDX_ZPY, LDX_ABS, LDX_ABY,
422
                LDY_IMM, LDY_ZPG, LDY_ZPX, LDY_ABS, LDY_ABX,
423
                TSX_IMP : begin
424
                        result = alu_a;
425
                end
426
 
427
                // ORA - Logical OR
428
                ORA_IMM, ORA_ZPG, ORA_ZPX, ORA_ABS, ORA_ABX, ORA_ABY, ORA_IDX, ORA_IDY : begin
429 181 gabrielosh
                        //result = A | alu_a;
430
                        result = alu_result | alu_a;
431 141 creep
                end
432
 
433
                // SBC - Subtract with Carry
434
                SBC_IMM, SBC_ZPG, SBC_ZPX, SBC_ABS, SBC_ABX, SBC_ABY, SBC_IDX, SBC_IDY : begin
435 181 gabrielosh
                        op2 = ~op2;
436 174 gabrielosh
                        if (alu_status[D] == 1) begin
437 181 gabrielosh
                                //AL = A[3:0] + alu_a[3:0] + alu_status[C];
438
                                AL = op1[3:0] + op2[3:0] + alu_status[C];
439
                                //AH = A[7:4] + alu_a[7:4];
440
                                AH = op1[7:4] + op2[7:4];
441 179 gabrielosh
                                if (AL > 9) begin
442
                                        bcdh = AH + (AL / 10);
443
                                        bcdl = AL % 10;
444
                                end
445
                                else begin
446
                                        bcdh = AH;
447
                                        bcdl = AL;
448
                                end
449
                                if (bcdh > 9) begin
450
                                        STATUS[C] = 1;
451
                                        bcdh2 = bcdh % 10;
452
                                end
453
                                else begin
454
                                        STATUS[C] = 0;
455
                                        bcdh2 = bcdh;
456
                                end
457
                                result = {bcdh2[3:0],bcdl[3:0]};
458
                        end
459
                        else begin
460
                                //$display("MODO NORMAL");
461 181 gabrielosh
                                result = op1 + op2 + alu_status[C];
462
                                STATUS[C] = ~result[7];
463 179 gabrielosh
                        end
464
/*                      if (alu_status[D] == 1) begin
465 178 gabrielosh
                                bcdl = op1[3:0] - op2[3:0] - (1 - alu_status[C]);
466
                                bcdh = op1[7:4] - op2[7:4];
467 162 gabrielosh
                                if (bcdl > 9) begin
468
                                        bcdh = bcdh + bcdl[5:4];
469
                                        bcdl = bcdl % 10;
470
                                end
471
                                if (bcdh > 9) begin
472
                                        STATUS[C] = 1;
473
                                        bcdh = bcdh % 10;
474
                                end
475 163 gabrielosh
                                result = {bcdh[3:0],bcdl[3:0]};
476 162 gabrielosh
                        end
477 173 gabrielosh
                        else begin
478 178 gabrielosh
                                op2 = ~alu_a;
479
                                result = op1 + op2 + alu_status[C];
480 174 gabrielosh
                                STATUS[C] = ~result[7];
481 173 gabrielosh
                        end
482 179 gabrielosh
*/
483 162 gabrielosh
 
484 173 gabrielosh
                        if ((op1[7] == sign) && (op1[7] != result[7]))
485 162 gabrielosh
                                STATUS[V] = 1;
486
                        else
487
                                STATUS[V] = 0;
488
 
489 141 creep
                end
490
 
491
                // ASL - Arithmetic Shift Left
492
                ASL_ACC : begin
493 145 gabrielosh
                        //{STATUS[C],result} = A << 1;
494 181 gabrielosh
                        //{STATUS[C],result} = {A,1'b0};
495
                        {STATUS[C],result} = {alu_result,1'b0};
496 141 creep
                end
497
                ASL_ZPG, ASL_ZPX, ASL_ABS, ASL_ABX : begin
498 145 gabrielosh
                        //{STATUS[C],result} = alu_a << 1;
499
                        {STATUS[C],result} = {alu_a,1'b0};
500 141 creep
                end
501
 
502
                // LSR - Logical Shift Right
503
                LSR_ACC: begin
504 145 gabrielosh
                        //{result, STATUS[C]} = A >> 1;
505 181 gabrielosh
                        //{result,STATUS[C]} = {1'b0,A};
506
                        {result,STATUS[C]} = {1'b0,alu_result};
507 141 creep
                end
508
                LSR_ZPG, LSR_ZPX, LSR_ABS, LSR_ABX : begin
509 145 gabrielosh
                        //{result, STATUS[C]} = alu_a >> 1;
510
                        {result,STATUS[C]} = {1'b0,alu_a};
511 141 creep
                end
512
 
513
                // ROL - Rotate Left
514
                ROL_ACC : begin
515 181 gabrielosh
                        //{STATUS[C],result} = {A,alu_status[C]};
516
                        {STATUS[C],result} = {alu_result,alu_status[C]};
517 141 creep
                end
518
                ROL_ZPG, ROL_ZPX, ROL_ABS, ROL_ABX : begin
519
                        {STATUS[C],result} = {alu_a,alu_status[C]};
520
                end
521
 
522 152 gabrielosh
                // ROR - Rotate Right
523 141 creep
                ROR_ACC : begin
524 181 gabrielosh
                        //{result,STATUS[C]} = {alu_status[C],A};
525
                        {result,STATUS[C]} = {alu_status[C],alu_result};
526 141 creep
                end
527
                ROR_ZPG, ROR_ZPX, ROR_ABS, ROR_ABX : begin
528
                        {result, STATUS[C]} = {alu_status[C], alu_a};
529
                end
530
 
531
                // CPX - Compare X Register
532
                CPX_IMM, CPX_ZPG, CPX_ABS : begin
533 181 gabrielosh
                        //result = X - alu_a;
534
                        result = alu_x - alu_a;
535
                        //STATUS[C] = (X >= alu_a) ? 1 : 0;
536
                        STATUS[C] = (alu_x >= alu_a) ? 1 : 0;
537 141 creep
                end
538
 
539
                // CPY - Compare Y Register
540
                CPY_IMM, CPY_ZPG, CPY_ABS : begin
541 181 gabrielosh
                        //result = Y - alu_a;
542
                        result = alu_y - alu_a;
543
                        //STATUS[C] = (Y >= alu_a) ? 1 : 0;
544
                        STATUS[C] = (alu_y >= alu_a) ? 1 : 0;
545 141 creep
                end
546
 
547
                default: begin // NON-DEFAULT OPCODES FALL HERE
548 142 gabrielosh
                end
549 141 creep
        endcase
550 142 gabrielosh
        STATUS[Z] = (result == 0) ? 1 : 0;
551
        STATUS[N] = result[7];
552 141 creep
end
553 175 gabrielosh
end
554 141 creep
endmodule
555
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.