OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [t6507lp_alu_wrapper.v] - Blame information for rev 153

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 126 creep
////////////////////////////////////////////////////////////////////////////
2
////                                                                    ////
3
//// T6507LP IP Core                                                    ////
4
////                                                                    ////
5
//// This file is part of the T6507LP project                           ////
6
//// http://www.opencores.org/cores/t6507lp/                            ////
7
////                                                                    ////
8
//// Description                                                        ////
9
//// 6507 ALU wrapper                                                   ////
10
////                                                                    ////
11
//// TODO:                                                              ////
12
////                                                                    ////
13
//// Author(s):                                                         ////
14
//// - Gabriel Oshiro Zardo, gabrieloshiro@gmail.com                    ////
15
//// - Samuel Nascimento Pagliarini (creep), snpagliarini@gmail.com     ////
16
////                                                                    ////
17
////////////////////////////////////////////////////////////////////////////
18
////                                                                    ////
19
//// Copyright (C) 2001 Authors and OPENCORES.ORG                       ////
20
////                                                                    ////
21
//// This source file may be used and distributed without               ////
22
//// restriction provided that this copyright statement is not          ////
23
//// removed from the file and that any derivative work contains        ////
24
//// the original copyright notice and the associated disclaimer.       ////
25
////                                                                    ////
26
//// This source file is free software; you can redistribute it         ////
27
//// and/or modify it under the terms of the GNU Lesser General         ////
28
//// Public License as published by the Free Software Foundation;       ////
29
//// either version 2.1 of the License, or (at your option) any         ////
30
//// later version.                                                     ////
31
////                                                                    ////
32
//// This source is distributed in the hope that it will be             ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied         ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR            ////
35
//// PURPOSE. See the GNU Lesser General Public License for more        ////
36
//// details.                                                           ////
37
////                                                                    ////
38
//// You should have received a copy of the GNU Lesser General          ////
39
//// Public License along with this source; if not, download it         ////
40
//// from http://www.opencores.org/lgpl.shtml                           ////
41
////                                                                    ////
42
////////////////////////////////////////////////////////////////////////////
43
 
44
`include "timescale.v"
45 143 creep
`include "t6507lp_alu.v"
46 126 creep
 
47 129 creep
module t6507lp_alu_wrapper();
48 126 creep
        parameter [3:0] DATA_SIZE = 4'd8;
49
        localparam [3:0] DATA_SIZE_ = DATA_SIZE - 4'b0001;
50
 
51
        // all inputs are regs
52
        reg clk;
53 144 creep
        reg reset_n;
54
        reg alu_enable;
55
        reg [DATA_SIZE_:0] alu_opcode;
56
        reg [DATA_SIZE_:0] alu_a;
57 126 creep
 
58
        // all outputs are wires
59 129 creep
        wire [DATA_SIZE_:0] alu_result;
60
        wire [DATA_SIZE_:0] alu_status;
61
        wire [DATA_SIZE_:0] alu_x;
62
        wire [DATA_SIZE_:0] alu_y;
63 126 creep
 
64
        initial clk = 0;
65
        always #10 clk <= ~clk;
66 129 creep
 
67 143 creep
        always @(posedge clk) begin
68 153 creep
                //$display("reset is %b", reset_n);
69
                //$display("alu_enable is %b", alu_enable);
70
                //$display("alu_opcode is %h", alu_opcode);
71
                //$display("alu_a is %d", alu_a);
72 143 creep
        end
73 126 creep
 
74 143 creep
        t6507lp_alu t6507lp_alu (
75
                .clk            (clk),
76
                .reset_n        (reset_n),
77 126 creep
                .alu_enable     (alu_enable),
78
                .alu_result     (alu_result),
79
                .alu_status     (alu_status),
80
                .alu_opcode     (alu_opcode),
81
                .alu_a          (alu_a),
82
                .alu_x          (alu_x),
83
                .alu_y          (alu_y)
84
        );
85
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.