OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [t6507lp_fsm_tb.v] - Blame information for rev 110

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 67 creep
////////////////////////////////////////////////////////////////////////////
2
////                                                                    ////
3
//// T6507LP IP Core                                                    ////
4
////                                                                    ////
5
//// This file is part of the T6507LP project                           ////
6
//// http://www.opencores.org/cores/t6507lp/                            ////
7
////                                                                    ////
8
//// Description                                                        ////
9 98 creep
//// 6507 FSM testbench                                                 ////
10 67 creep
////                                                                    ////
11
//// TODO:                                                              ////
12 98 creep
//// - Test indirect indexed mode                                       ////
13
//// - Test absolute indirect mode                                      ////
14
//// - Test special stack instructions                                  ////
15 67 creep
////                                                                    ////
16
//// Author(s):                                                         ////
17
//// - Gabriel Oshiro Zardo, gabrieloshiro@gmail.com                    ////
18
//// - Samuel Nascimento Pagliarini (creep), snpagliarini@gmail.com     ////
19
////                                                                    ////
20
////////////////////////////////////////////////////////////////////////////
21
////                                                                    ////
22
//// Copyright (C) 2001 Authors and OPENCORES.ORG                       ////
23
////                                                                    ////
24
//// This source file may be used and distributed without               ////
25
//// restriction provided that this copyright statement is not          ////
26
//// removed from the file and that any derivative work contains        ////
27
//// the original copyright notice and the associated disclaimer.       ////
28
////                                                                    ////
29
//// This source file is free software; you can redistribute it         ////
30
//// and/or modify it under the terms of the GNU Lesser General         ////
31
//// Public License as published by the Free Software Foundation;       ////
32
//// either version 2.1 of the License, or (at your option) any         ////
33
//// later version.                                                     ////
34
////                                                                    ////
35
//// This source is distributed in the hope that it will be             ////
36
//// useful, but WITHOUT ANY WARRANTY; without even the implied         ////
37
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR            ////
38
//// PURPOSE. See the GNU Lesser General Public License for more        ////
39
//// details.                                                           ////
40
////                                                                    ////
41
//// You should have received a copy of the GNU Lesser General          ////
42
//// Public License along with this source; if not, download it         ////
43
//// from http://www.opencores.org/lgpl.shtml                           ////
44
////                                                                    ////
45
////////////////////////////////////////////////////////////////////////////
46
 
47
 
48
`timescale 1ns / 1ps
49
 
50
module t6507lp_fsm_tb();
51 71 creep
        reg clk;
52
        reg reset_n;
53 67 creep
        reg [7:0] alu_result;
54
        reg [7:0] alu_status;
55
        reg [7:0] data_in;
56
 
57 86 creep
        reg [7:0] alu_x;
58
        reg [7:0] alu_y;
59
 
60 67 creep
        wire [12:0] address;
61 98 creep
        wire control;
62 67 creep
        wire [7:0] data_out;
63
        wire [7:0] alu_opcode;
64
        wire [7:0] alu_a;
65
        wire alu_enable;
66
 
67 95 creep
        integer i;
68
 
69 98 creep
        `include "../T6507LP_Package.v" // TODO: remove this include
70 67 creep
 
71 86 creep
        t6507lp_fsm #(8,13) my_dut(clk, reset_n, alu_result, alu_status, data_in, address, control, data_out, alu_opcode, alu_a, alu_enable, alu_x, alu_y);
72 67 creep
 
73 71 creep
        always #10 clk = ~clk;
74 67 creep
 
75 95 creep
        reg[7:0] fake_mem[2**13-1:0];
76 71 creep
 
77 67 creep
        initial begin
78 71 creep
                clk = 0;
79
                reset_n = 1'b0;
80
                alu_result = 8'h01;
81 94 creep
                alu_status = 8'h00;
82 86 creep
                alu_x = 8'h07;
83
                alu_y = 8'h03;
84 95 creep
 
85
                for (i=0; i < 2**13; i= i+1) begin
86
                        $write("\n%d",i);
87
                        fake_mem[i]=8'h00;
88
                end
89 67 creep
 
90 95 creep
 
91 71 creep
                fake_mem[0] = ASL_ACC; // testing ACC mode
92
                fake_mem[1] = ADC_IMM; // testing IMM mode
93
                fake_mem[2] = 8'h27;
94
                fake_mem[3] = JMP_ABS; // testing ABS mode, JMP type
95
                fake_mem[4] = 8'h09;
96
                fake_mem[5] = 8'h00;
97
                fake_mem[6] = ASL_ACC; // wont be executed
98
                fake_mem[7] = ASL_ACC; // wont be executed
99
                fake_mem[8] = ASL_ACC; // wont be executed
100
                fake_mem[9] = ASL_ACC; // wont be executed
101
                fake_mem[10] = LDA_ABS; // testing ABS mode, READ type. A = MEM[0002]. (a=27)
102
                fake_mem[11] = 8'h02;
103
                fake_mem[12] = 8'h00;
104
                fake_mem[13] = ASL_ABS; // testing ABS mode, READ_MODIFY_WRITE type. should overwrite the first ASL_ACC
105 76 creep
                fake_mem[14] = 8'h00;
106 71 creep
                fake_mem[15] = 8'h00;
107 76 creep
                fake_mem[16] = STA_ABS; // testing ABS mode, WRITE type. should write alu_result on MEM[1]
108
                fake_mem[17] = 8'h01;
109
                fake_mem[18] = 8'h00;
110
                fake_mem[19] = LDA_ZPG; // testing ZPG mode, READ type
111
                fake_mem[20] = 8'h00;
112 78 creep
                fake_mem[21] = ASL_ZPG; // testing ZPG mode, READ_MODIFY_WRITE type
113
                fake_mem[22] = 8'h00;
114
                fake_mem[23] = STA_ZPG; // testing ZPG mode, WRITE type
115
                fake_mem[24] = 8'h00;
116 86 creep
                fake_mem[25] = LDA_ZPX; // testing ZPX mode, READ type. A = MEM[x+1]
117
                fake_mem[26] = 8'h01;
118
                fake_mem[27] = ASL_ZPX; // testing ZPX mode, READ_MODIFY_WRITE type. MEM[x+1] = MEM[x+1] << 1;
119
                fake_mem[28] = 8'h01;
120
                fake_mem[29] = STA_ZPX; // testing ZPX mode, WRITE type. MEM[x+2] = A;
121
                fake_mem[30] = 8'h02;
122 87 creep
                fake_mem[31] = LDA_ABX; // testing ABX mode, READ TYPE. No page crossed.
123
                fake_mem[32] = 8'h0a;
124
                fake_mem[33] = 8'h00;
125 88 creep
                fake_mem[34] = LDA_ABX; // testing ABX mode, READ TYPE. Page crossed.
126
                fake_mem[35] = 8'hff;
127
                fake_mem[36] = 8'h00;
128 89 creep
                fake_mem[37] = ASL_ABX; // testing ABX mode, READ_MODIFY_WRITE TYPE. No page crossed.
129
                fake_mem[38] = 8'h01;
130 96 creep
                fake_mem[39] = 8'd35;
131 91 creep
                fake_mem[40] = ASL_ABX; // testing ABX mode, READ_MODIFY_WRITE TYPE. Page crossed.
132
                fake_mem[41] = 8'hff;
133
                fake_mem[42] = 8'h00;
134 92 creep
                fake_mem[40] = STA_ABX; // testing ABX mode, WRITE TYPE. No page crossed.
135
                fake_mem[41] = 8'h04;
136
                fake_mem[42] = 8'h00;
137 94 creep
                fake_mem[43] = STA_ABX; // testing ABX mode, WRITE TYPE. Page crossed.
138
                fake_mem[44] = 8'hff;
139
                fake_mem[45] = 8'h00;
140
                fake_mem[46] = BNE_REL; // testing REL mode, taking a branch, no page crossed.
141
                fake_mem[47] = 8'h0a;
142
                fake_mem[58] = BNE_REL; // testing REL mode, taking a branch, page crossed.
143
                fake_mem[59] = 8'hff;
144 101 creep
                fake_mem[60] = 8'hff;
145 96 creep
                fake_mem[254] = 8'hff;
146 110 creep
                fake_mem[256] = 8'h55; // PCL fetched from here when executing RTS_IMP
147
                fake_mem[257] = 8'h01;    // PCH fetched from here when executing RTS_IMP
148 107 creep
                fake_mem[264] = 8'd340;
149 94 creep
                fake_mem[315] = BEQ_REL; // testing REL mode, not taking a branch, page would have crossed.
150
                fake_mem[316] = 8'hff;
151
                fake_mem[317] = BEQ_REL; // testing REL mode, not taking a branch, page would not have crossed.
152 95 creep
                fake_mem[318] = 8'h00;
153
                fake_mem[319] = LDA_IDX; // testing IDX mode READ TYPE, no page crossed;
154
                fake_mem[320] = 8'h0a;
155
                fake_mem[321] = LDA_IDX; // testing IDX mode READ TYPE, page crossed; this will actually do A = MEM[6] because there is no carry
156
                fake_mem[322] = 8'hff;
157
                //fake_mem[319] = SLO_IDX; // testing IDX mode READ_MODIFY_WRITE TYPE
158
                //fake_mem[320] = 8'h0a;   // all of read modify write instructions are not documented therefore will not be simulated
159
                fake_mem[323] = STA_IDX; // testing IDX mode WRITE TYPE, page crossed being ignored
160
                fake_mem[324] = 8'hff;
161
                fake_mem[325] = STA_IDX; // testing IDX mode WRITE TYPE, page not crossed;
162 96 creep
                fake_mem[326] = 8'h00;
163
                fake_mem[327] = LDA_IDY; // testing IDY mode READ TYPE, page not crossed;
164
                fake_mem[328] = 8'h00;
165
                fake_mem[329] = LDA_IDY; // testing IDY mode READ TYPE, page not crossed but pointer overflowed.
166
                fake_mem[330] = 8'hff;
167
                /* testing IDY mode READ TYPE, page crossed.
168
                   address may assume a invalid value when page is crossed but it is fixed on the next cycle when the true read occurs.
169
                   this is probably not an issue */
170
                fake_mem[331] = LDA_IDY;
171 100 creep
                fake_mem[332] = 8'hfe;
172 101 creep
                fake_mem[333] = STA_IDY; // testing IDY mode WRITE TYPE, page crossed;
173
                fake_mem[334] = 8'h00;
174
                fake_mem[335] = STA_IDY; // testing IDY mode WRITE TYPE, page not crossed;
175 102 creep
                fake_mem[336] = 8'h0e;
176
                fake_mem[337] = INX_IMP;
177 104 creep
                //fake_mem[338] = JMP_IND; // testing absolute indirect addressing. page crossed when updating pointer.
178
                //fake_mem[339] = 8'hff; 
179
                //fake_mem[340] = 8'h00; 
180 101 creep
                //fake_mem[337] = JMP_IND; // testing absolute indirect addressing. no page crossed when updating pointer.
181 104 creep
                //fake_mem[338] = 8'h3b;   // these are commented cause they will actually jump
182
                //fake_mem[339] = 8'h00;
183 110 creep
                //fake_mem[338] = BRK_IMP;
184
                //fake_mem[339] = RTI_IMP;
185
                //fake_mem[340] = RTS_IMP;
186 107 creep
                // 341 is skipped due to RTS internal functionality
187 110 creep
                //fake_mem[342] = PHA_IMP;      
188
                //fake_mem[343] = PHP_IMP;      
189
                //fake_mem[344] = PLA_IMP;      
190
                //fake_mem[345] = PLP_IMP;
191
                fake_mem[338] = JSR_ABS;
192
                fake_mem[339] = 8'h01;
193
                fake_mem[340] = 8'h01;
194
 
195 100 creep
 
196
 
197 104 creep
                fake_mem[8190] = 8'h53; // this is the reset vector
198
                fake_mem[8191] = 8'h01;
199 71 creep
                @(negedge clk) // will wait for next negative edge of the clock (t=20)
200
                reset_n=1'b1;
201
 
202 67 creep
 
203 102 creep
                #4000;
204 67 creep
                $finish; // to shut down the simulation
205
        end //initial
206
 
207 71 creep
        always @(clk) begin
208
                if (control == 0) begin // MEM_READ
209
                        data_in <= fake_mem[address];
210
                        $write("\nreading from mem position %h: %h", address, fake_mem[address]);
211
                end
212
                else if (control == 1'b1) begin // MEM_WRITE
213
                        fake_mem[address] <= data_out;
214
                        $write("\nreading from mem position %h: %h", address, fake_mem[address]);
215
                end
216
        end
217 67 creep
 
218
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.