OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [t6507lp_fsm_tb.v] - Blame information for rev 112

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 67 creep
////////////////////////////////////////////////////////////////////////////
2
////                                                                    ////
3
//// T6507LP IP Core                                                    ////
4
////                                                                    ////
5
//// This file is part of the T6507LP project                           ////
6
//// http://www.opencores.org/cores/t6507lp/                            ////
7
////                                                                    ////
8
//// Description                                                        ////
9 98 creep
//// 6507 FSM testbench                                                 ////
10 67 creep
////                                                                    ////
11
//// TODO:                                                              ////
12 98 creep
//// - Test indirect indexed mode                                       ////
13
//// - Test absolute indirect mode                                      ////
14
//// - Test special stack instructions                                  ////
15 67 creep
////                                                                    ////
16
//// Author(s):                                                         ////
17
//// - Gabriel Oshiro Zardo, gabrieloshiro@gmail.com                    ////
18
//// - Samuel Nascimento Pagliarini (creep), snpagliarini@gmail.com     ////
19
////                                                                    ////
20
////////////////////////////////////////////////////////////////////////////
21
////                                                                    ////
22
//// Copyright (C) 2001 Authors and OPENCORES.ORG                       ////
23
////                                                                    ////
24
//// This source file may be used and distributed without               ////
25
//// restriction provided that this copyright statement is not          ////
26
//// removed from the file and that any derivative work contains        ////
27
//// the original copyright notice and the associated disclaimer.       ////
28
////                                                                    ////
29
//// This source file is free software; you can redistribute it         ////
30
//// and/or modify it under the terms of the GNU Lesser General         ////
31
//// Public License as published by the Free Software Foundation;       ////
32
//// either version 2.1 of the License, or (at your option) any         ////
33
//// later version.                                                     ////
34
////                                                                    ////
35
//// This source is distributed in the hope that it will be             ////
36
//// useful, but WITHOUT ANY WARRANTY; without even the implied         ////
37
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR            ////
38
//// PURPOSE. See the GNU Lesser General Public License for more        ////
39
//// details.                                                           ////
40
////                                                                    ////
41
//// You should have received a copy of the GNU Lesser General          ////
42
//// Public License along with this source; if not, download it         ////
43
//// from http://www.opencores.org/lgpl.shtml                           ////
44
////                                                                    ////
45
////////////////////////////////////////////////////////////////////////////
46
 
47 112 creep
`include "timescale.v"
48 67 creep
 
49
module t6507lp_fsm_tb();
50 71 creep
        reg clk;
51
        reg reset_n;
52 67 creep
        reg [7:0] alu_result;
53
        reg [7:0] alu_status;
54
        reg [7:0] data_in;
55
 
56 86 creep
        reg [7:0] alu_x;
57
        reg [7:0] alu_y;
58
 
59 67 creep
        wire [12:0] address;
60 98 creep
        wire control;
61 67 creep
        wire [7:0] data_out;
62
        wire [7:0] alu_opcode;
63
        wire [7:0] alu_a;
64
        wire alu_enable;
65
 
66 111 creep
        integer my_i;
67 95 creep
 
68 112 creep
        `include "T6507LP_Package.v" // TODO: remove this include
69 67 creep
 
70 86 creep
        t6507lp_fsm #(8,13) my_dut(clk, reset_n, alu_result, alu_status, data_in, address, control, data_out, alu_opcode, alu_a, alu_enable, alu_x, alu_y);
71 67 creep
 
72 71 creep
        always #10 clk = ~clk;
73 67 creep
 
74 95 creep
        reg[7:0] fake_mem[2**13-1:0];
75 71 creep
 
76 67 creep
        initial begin
77 71 creep
                clk = 0;
78
                reset_n = 1'b0;
79
                alu_result = 8'h01;
80 94 creep
                alu_status = 8'h00;
81 86 creep
                alu_x = 8'h07;
82
                alu_y = 8'h03;
83 95 creep
 
84 111 creep
                for (my_i=0; my_i < 2**13; my_i= my_i+1) begin
85
                        $write("\n%d",my_i);
86
                        fake_mem[my_i]=8'h00;
87 95 creep
                end
88 67 creep
 
89 95 creep
 
90 71 creep
                fake_mem[0] = ASL_ACC; // testing ACC mode
91
                fake_mem[1] = ADC_IMM; // testing IMM mode
92
                fake_mem[2] = 8'h27;
93
                fake_mem[3] = JMP_ABS; // testing ABS mode, JMP type
94
                fake_mem[4] = 8'h09;
95
                fake_mem[5] = 8'h00;
96
                fake_mem[6] = ASL_ACC; // wont be executed
97
                fake_mem[7] = ASL_ACC; // wont be executed
98
                fake_mem[8] = ASL_ACC; // wont be executed
99
                fake_mem[9] = ASL_ACC; // wont be executed
100
                fake_mem[10] = LDA_ABS; // testing ABS mode, READ type. A = MEM[0002]. (a=27)
101
                fake_mem[11] = 8'h02;
102
                fake_mem[12] = 8'h00;
103
                fake_mem[13] = ASL_ABS; // testing ABS mode, READ_MODIFY_WRITE type. should overwrite the first ASL_ACC
104 76 creep
                fake_mem[14] = 8'h00;
105 71 creep
                fake_mem[15] = 8'h00;
106 76 creep
                fake_mem[16] = STA_ABS; // testing ABS mode, WRITE type. should write alu_result on MEM[1]
107
                fake_mem[17] = 8'h01;
108
                fake_mem[18] = 8'h00;
109
                fake_mem[19] = LDA_ZPG; // testing ZPG mode, READ type
110
                fake_mem[20] = 8'h00;
111 78 creep
                fake_mem[21] = ASL_ZPG; // testing ZPG mode, READ_MODIFY_WRITE type
112
                fake_mem[22] = 8'h00;
113
                fake_mem[23] = STA_ZPG; // testing ZPG mode, WRITE type
114
                fake_mem[24] = 8'h00;
115 86 creep
                fake_mem[25] = LDA_ZPX; // testing ZPX mode, READ type. A = MEM[x+1]
116
                fake_mem[26] = 8'h01;
117
                fake_mem[27] = ASL_ZPX; // testing ZPX mode, READ_MODIFY_WRITE type. MEM[x+1] = MEM[x+1] << 1;
118
                fake_mem[28] = 8'h01;
119
                fake_mem[29] = STA_ZPX; // testing ZPX mode, WRITE type. MEM[x+2] = A;
120
                fake_mem[30] = 8'h02;
121 87 creep
                fake_mem[31] = LDA_ABX; // testing ABX mode, READ TYPE. No page crossed.
122
                fake_mem[32] = 8'h0a;
123
                fake_mem[33] = 8'h00;
124 88 creep
                fake_mem[34] = LDA_ABX; // testing ABX mode, READ TYPE. Page crossed.
125
                fake_mem[35] = 8'hff;
126
                fake_mem[36] = 8'h00;
127 89 creep
                fake_mem[37] = ASL_ABX; // testing ABX mode, READ_MODIFY_WRITE TYPE. No page crossed.
128
                fake_mem[38] = 8'h01;
129 96 creep
                fake_mem[39] = 8'd35;
130 91 creep
                fake_mem[40] = ASL_ABX; // testing ABX mode, READ_MODIFY_WRITE TYPE. Page crossed.
131
                fake_mem[41] = 8'hff;
132
                fake_mem[42] = 8'h00;
133 92 creep
                fake_mem[40] = STA_ABX; // testing ABX mode, WRITE TYPE. No page crossed.
134
                fake_mem[41] = 8'h04;
135
                fake_mem[42] = 8'h00;
136 94 creep
                fake_mem[43] = STA_ABX; // testing ABX mode, WRITE TYPE. Page crossed.
137
                fake_mem[44] = 8'hff;
138
                fake_mem[45] = 8'h00;
139
                fake_mem[46] = BNE_REL; // testing REL mode, taking a branch, no page crossed.
140
                fake_mem[47] = 8'h0a;
141
                fake_mem[58] = BNE_REL; // testing REL mode, taking a branch, page crossed.
142
                fake_mem[59] = 8'hff;
143 101 creep
                fake_mem[60] = 8'hff;
144 96 creep
                fake_mem[254] = 8'hff;
145 110 creep
                fake_mem[256] = 8'h55; // PCL fetched from here when executing RTS_IMP
146
                fake_mem[257] = 8'h01;    // PCH fetched from here when executing RTS_IMP
147 107 creep
                fake_mem[264] = 8'd340;
148 94 creep
                fake_mem[315] = BEQ_REL; // testing REL mode, not taking a branch, page would have crossed.
149
                fake_mem[316] = 8'hff;
150
                fake_mem[317] = BEQ_REL; // testing REL mode, not taking a branch, page would not have crossed.
151 95 creep
                fake_mem[318] = 8'h00;
152
                fake_mem[319] = LDA_IDX; // testing IDX mode READ TYPE, no page crossed;
153
                fake_mem[320] = 8'h0a;
154
                fake_mem[321] = LDA_IDX; // testing IDX mode READ TYPE, page crossed; this will actually do A = MEM[6] because there is no carry
155
                fake_mem[322] = 8'hff;
156
                //fake_mem[319] = SLO_IDX; // testing IDX mode READ_MODIFY_WRITE TYPE
157
                //fake_mem[320] = 8'h0a;   // all of read modify write instructions are not documented therefore will not be simulated
158
                fake_mem[323] = STA_IDX; // testing IDX mode WRITE TYPE, page crossed being ignored
159
                fake_mem[324] = 8'hff;
160
                fake_mem[325] = STA_IDX; // testing IDX mode WRITE TYPE, page not crossed;
161 96 creep
                fake_mem[326] = 8'h00;
162
                fake_mem[327] = LDA_IDY; // testing IDY mode READ TYPE, page not crossed;
163
                fake_mem[328] = 8'h00;
164
                fake_mem[329] = LDA_IDY; // testing IDY mode READ TYPE, page not crossed but pointer overflowed.
165
                fake_mem[330] = 8'hff;
166
                /* testing IDY mode READ TYPE, page crossed.
167
                   address may assume a invalid value when page is crossed but it is fixed on the next cycle when the true read occurs.
168
                   this is probably not an issue */
169
                fake_mem[331] = LDA_IDY;
170 100 creep
                fake_mem[332] = 8'hfe;
171 101 creep
                fake_mem[333] = STA_IDY; // testing IDY mode WRITE TYPE, page crossed;
172
                fake_mem[334] = 8'h00;
173
                fake_mem[335] = STA_IDY; // testing IDY mode WRITE TYPE, page not crossed;
174 102 creep
                fake_mem[336] = 8'h0e;
175
                fake_mem[337] = INX_IMP;
176 104 creep
                //fake_mem[338] = JMP_IND; // testing absolute indirect addressing. page crossed when updating pointer.
177
                //fake_mem[339] = 8'hff; 
178
                //fake_mem[340] = 8'h00; 
179 101 creep
                //fake_mem[337] = JMP_IND; // testing absolute indirect addressing. no page crossed when updating pointer.
180 104 creep
                //fake_mem[338] = 8'h3b;   // these are commented cause they will actually jump
181
                //fake_mem[339] = 8'h00;
182 110 creep
                //fake_mem[338] = BRK_IMP;
183
                //fake_mem[339] = RTI_IMP;
184
                //fake_mem[340] = RTS_IMP;
185 107 creep
                // 341 is skipped due to RTS internal functionality
186 110 creep
                //fake_mem[342] = PHA_IMP;      
187
                //fake_mem[343] = PHP_IMP;      
188
                //fake_mem[344] = PLA_IMP;      
189
                //fake_mem[345] = PLP_IMP;
190
                fake_mem[338] = JSR_ABS;
191
                fake_mem[339] = 8'h01;
192
                fake_mem[340] = 8'h01;
193
 
194 100 creep
 
195
 
196 104 creep
                fake_mem[8190] = 8'h53; // this is the reset vector
197
                fake_mem[8191] = 8'h01;
198 71 creep
                @(negedge clk) // will wait for next negative edge of the clock (t=20)
199
                reset_n=1'b1;
200
 
201 67 creep
 
202 102 creep
                #4000;
203 67 creep
                $finish; // to shut down the simulation
204
        end //initial
205
 
206 71 creep
        always @(clk) begin
207
                if (control == 0) begin // MEM_READ
208
                        data_in <= fake_mem[address];
209
                        $write("\nreading from mem position %h: %h", address, fake_mem[address]);
210
                end
211
                else if (control == 1'b1) begin // MEM_WRITE
212
                        fake_mem[address] <= data_out;
213
                        $write("\nreading from mem position %h: %h", address, fake_mem[address]);
214
                end
215
        end
216 67 creep
 
217
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.