OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [t6507lp_fsm_tb.v] - Blame information for rev 115

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 67 creep
////////////////////////////////////////////////////////////////////////////
2
////                                                                    ////
3
//// T6507LP IP Core                                                    ////
4
////                                                                    ////
5
//// This file is part of the T6507LP project                           ////
6
//// http://www.opencores.org/cores/t6507lp/                            ////
7
////                                                                    ////
8
//// Description                                                        ////
9 98 creep
//// 6507 FSM testbench                                                 ////
10 67 creep
////                                                                    ////
11
//// Author(s):                                                         ////
12
//// - Gabriel Oshiro Zardo, gabrieloshiro@gmail.com                    ////
13
//// - Samuel Nascimento Pagliarini (creep), snpagliarini@gmail.com     ////
14
////                                                                    ////
15
////////////////////////////////////////////////////////////////////////////
16
////                                                                    ////
17
//// Copyright (C) 2001 Authors and OPENCORES.ORG                       ////
18
////                                                                    ////
19
//// This source file may be used and distributed without               ////
20
//// restriction provided that this copyright statement is not          ////
21
//// removed from the file and that any derivative work contains        ////
22
//// the original copyright notice and the associated disclaimer.       ////
23
////                                                                    ////
24
//// This source file is free software; you can redistribute it         ////
25
//// and/or modify it under the terms of the GNU Lesser General         ////
26
//// Public License as published by the Free Software Foundation;       ////
27
//// either version 2.1 of the License, or (at your option) any         ////
28
//// later version.                                                     ////
29
////                                                                    ////
30
//// This source is distributed in the hope that it will be             ////
31
//// useful, but WITHOUT ANY WARRANTY; without even the implied         ////
32
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR            ////
33
//// PURPOSE. See the GNU Lesser General Public License for more        ////
34
//// details.                                                           ////
35
////                                                                    ////
36
//// You should have received a copy of the GNU Lesser General          ////
37
//// Public License along with this source; if not, download it         ////
38
//// from http://www.opencores.org/lgpl.shtml                           ////
39
////                                                                    ////
40
////////////////////////////////////////////////////////////////////////////
41
 
42 112 creep
`include "timescale.v"
43 67 creep
 
44
module t6507lp_fsm_tb();
45 115 creep
        // mem_rw signals
46
        localparam MEM_READ = 1'b0;
47
        localparam MEM_WRITE = 1'b1;
48
 
49 71 creep
        reg clk;
50
        reg reset_n;
51 67 creep
        reg [7:0] alu_result;
52
        reg [7:0] alu_status;
53
        reg [7:0] data_in;
54
 
55 86 creep
        reg [7:0] alu_x;
56
        reg [7:0] alu_y;
57
 
58 67 creep
        wire [12:0] address;
59 115 creep
        wire mem_rw;
60 67 creep
        wire [7:0] data_out;
61
        wire [7:0] alu_opcode;
62
        wire [7:0] alu_a;
63
        wire alu_enable;
64
 
65 111 creep
        integer my_i;
66 95 creep
 
67 115 creep
        `include "T6507LP_Package.v"
68 67 creep
 
69 115 creep
        t6507lp_fsm #(8,13) my_dut(clk, reset_n, alu_result, alu_status, data_in, address, mem_rw, data_out, alu_opcode, alu_a, alu_enable, alu_x, alu_y);
70 67 creep
 
71 71 creep
        always #10 clk = ~clk;
72 67 creep
 
73 95 creep
        reg[7:0] fake_mem[2**13-1:0];
74 71 creep
 
75 67 creep
        initial begin
76 71 creep
                clk = 0;
77
                reset_n = 1'b0;
78
                alu_result = 8'h01;
79 94 creep
                alu_status = 8'h00;
80 86 creep
                alu_x = 8'h07;
81
                alu_y = 8'h03;
82 95 creep
 
83 111 creep
                for (my_i=0; my_i < 2**13; my_i= my_i+1) begin
84
                        $write("\n%d",my_i);
85
                        fake_mem[my_i]=8'h00;
86 95 creep
                end
87 67 creep
 
88 95 creep
 
89 71 creep
                fake_mem[0] = ASL_ACC; // testing ACC mode
90
                fake_mem[1] = ADC_IMM; // testing IMM mode
91
                fake_mem[2] = 8'h27;
92
                fake_mem[3] = JMP_ABS; // testing ABS mode, JMP type
93
                fake_mem[4] = 8'h09;
94
                fake_mem[5] = 8'h00;
95
                fake_mem[6] = ASL_ACC; // wont be executed
96
                fake_mem[7] = ASL_ACC; // wont be executed
97
                fake_mem[8] = ASL_ACC; // wont be executed
98
                fake_mem[9] = ASL_ACC; // wont be executed
99
                fake_mem[10] = LDA_ABS; // testing ABS mode, READ type. A = MEM[0002]. (a=27)
100
                fake_mem[11] = 8'h02;
101
                fake_mem[12] = 8'h00;
102
                fake_mem[13] = ASL_ABS; // testing ABS mode, READ_MODIFY_WRITE type. should overwrite the first ASL_ACC
103 76 creep
                fake_mem[14] = 8'h00;
104 71 creep
                fake_mem[15] = 8'h00;
105 76 creep
                fake_mem[16] = STA_ABS; // testing ABS mode, WRITE type. should write alu_result on MEM[1]
106
                fake_mem[17] = 8'h01;
107
                fake_mem[18] = 8'h00;
108
                fake_mem[19] = LDA_ZPG; // testing ZPG mode, READ type
109
                fake_mem[20] = 8'h00;
110 78 creep
                fake_mem[21] = ASL_ZPG; // testing ZPG mode, READ_MODIFY_WRITE type
111
                fake_mem[22] = 8'h00;
112
                fake_mem[23] = STA_ZPG; // testing ZPG mode, WRITE type
113
                fake_mem[24] = 8'h00;
114 86 creep
                fake_mem[25] = LDA_ZPX; // testing ZPX mode, READ type. A = MEM[x+1]
115
                fake_mem[26] = 8'h01;
116
                fake_mem[27] = ASL_ZPX; // testing ZPX mode, READ_MODIFY_WRITE type. MEM[x+1] = MEM[x+1] << 1;
117
                fake_mem[28] = 8'h01;
118
                fake_mem[29] = STA_ZPX; // testing ZPX mode, WRITE type. MEM[x+2] = A;
119
                fake_mem[30] = 8'h02;
120 87 creep
                fake_mem[31] = LDA_ABX; // testing ABX mode, READ TYPE. No page crossed.
121
                fake_mem[32] = 8'h0a;
122
                fake_mem[33] = 8'h00;
123 88 creep
                fake_mem[34] = LDA_ABX; // testing ABX mode, READ TYPE. Page crossed.
124
                fake_mem[35] = 8'hff;
125
                fake_mem[36] = 8'h00;
126 89 creep
                fake_mem[37] = ASL_ABX; // testing ABX mode, READ_MODIFY_WRITE TYPE. No page crossed.
127
                fake_mem[38] = 8'h01;
128 96 creep
                fake_mem[39] = 8'd35;
129 91 creep
                fake_mem[40] = ASL_ABX; // testing ABX mode, READ_MODIFY_WRITE TYPE. Page crossed.
130
                fake_mem[41] = 8'hff;
131
                fake_mem[42] = 8'h00;
132 92 creep
                fake_mem[40] = STA_ABX; // testing ABX mode, WRITE TYPE. No page crossed.
133
                fake_mem[41] = 8'h04;
134
                fake_mem[42] = 8'h00;
135 94 creep
                fake_mem[43] = STA_ABX; // testing ABX mode, WRITE TYPE. Page crossed.
136
                fake_mem[44] = 8'hff;
137
                fake_mem[45] = 8'h00;
138
                fake_mem[46] = BNE_REL; // testing REL mode, taking a branch, no page crossed.
139
                fake_mem[47] = 8'h0a;
140
                fake_mem[58] = BNE_REL; // testing REL mode, taking a branch, page crossed.
141
                fake_mem[59] = 8'hff;
142 101 creep
                fake_mem[60] = 8'hff;
143 96 creep
                fake_mem[254] = 8'hff;
144 110 creep
                fake_mem[256] = 8'h55; // PCL fetched from here when executing RTS_IMP
145
                fake_mem[257] = 8'h01;    // PCH fetched from here when executing RTS_IMP
146 107 creep
                fake_mem[264] = 8'd340;
147 94 creep
                fake_mem[315] = BEQ_REL; // testing REL mode, not taking a branch, page would have crossed.
148
                fake_mem[316] = 8'hff;
149
                fake_mem[317] = BEQ_REL; // testing REL mode, not taking a branch, page would not have crossed.
150 95 creep
                fake_mem[318] = 8'h00;
151
                fake_mem[319] = LDA_IDX; // testing IDX mode READ TYPE, no page crossed;
152
                fake_mem[320] = 8'h0a;
153
                fake_mem[321] = LDA_IDX; // testing IDX mode READ TYPE, page crossed; this will actually do A = MEM[6] because there is no carry
154
                fake_mem[322] = 8'hff;
155
                //fake_mem[319] = SLO_IDX; // testing IDX mode READ_MODIFY_WRITE TYPE
156
                //fake_mem[320] = 8'h0a;   // all of read modify write instructions are not documented therefore will not be simulated
157
                fake_mem[323] = STA_IDX; // testing IDX mode WRITE TYPE, page crossed being ignored
158
                fake_mem[324] = 8'hff;
159
                fake_mem[325] = STA_IDX; // testing IDX mode WRITE TYPE, page not crossed;
160 96 creep
                fake_mem[326] = 8'h00;
161
                fake_mem[327] = LDA_IDY; // testing IDY mode READ TYPE, page not crossed;
162
                fake_mem[328] = 8'h00;
163
                fake_mem[329] = LDA_IDY; // testing IDY mode READ TYPE, page not crossed but pointer overflowed.
164
                fake_mem[330] = 8'hff;
165
                /* testing IDY mode READ TYPE, page crossed.
166
                   address may assume a invalid value when page is crossed but it is fixed on the next cycle when the true read occurs.
167
                   this is probably not an issue */
168
                fake_mem[331] = LDA_IDY;
169 100 creep
                fake_mem[332] = 8'hfe;
170 101 creep
                fake_mem[333] = STA_IDY; // testing IDY mode WRITE TYPE, page crossed;
171
                fake_mem[334] = 8'h00;
172
                fake_mem[335] = STA_IDY; // testing IDY mode WRITE TYPE, page not crossed;
173 102 creep
                fake_mem[336] = 8'h0e;
174
                fake_mem[337] = INX_IMP;
175 104 creep
                //fake_mem[338] = JMP_IND; // testing absolute indirect addressing. page crossed when updating pointer.
176
                //fake_mem[339] = 8'hff; 
177
                //fake_mem[340] = 8'h00; 
178 101 creep
                //fake_mem[337] = JMP_IND; // testing absolute indirect addressing. no page crossed when updating pointer.
179 104 creep
                //fake_mem[338] = 8'h3b;   // these are commented cause they will actually jump
180
                //fake_mem[339] = 8'h00;
181 110 creep
                //fake_mem[338] = BRK_IMP;
182
                //fake_mem[339] = RTI_IMP;
183
                //fake_mem[340] = RTS_IMP;
184 107 creep
                // 341 is skipped due to RTS internal functionality
185 110 creep
                //fake_mem[342] = PHA_IMP;      
186
                //fake_mem[343] = PHP_IMP;      
187
                //fake_mem[344] = PLA_IMP;      
188
                //fake_mem[345] = PLP_IMP;
189
                fake_mem[338] = JSR_ABS;
190
                fake_mem[339] = 8'h01;
191
                fake_mem[340] = 8'h01;
192
 
193 100 creep
 
194
 
195 104 creep
                fake_mem[8190] = 8'h53; // this is the reset vector
196
                fake_mem[8191] = 8'h01;
197 71 creep
                @(negedge clk) // will wait for next negative edge of the clock (t=20)
198
                reset_n=1'b1;
199
 
200 67 creep
 
201 102 creep
                #4000;
202 67 creep
                $finish; // to shut down the simulation
203
        end //initial
204
 
205 71 creep
        always @(clk) begin
206 115 creep
                if (mem_rw == MEM_READ) begin // MEM_READ
207 71 creep
                        data_in <= fake_mem[address];
208
                        $write("\nreading from mem position %h: %h", address, fake_mem[address]);
209
                end
210 115 creep
                else begin // MEM_WRITE
211 71 creep
                        fake_mem[address] <= data_out;
212
                        $write("\nreading from mem position %h: %h", address, fake_mem[address]);
213
                end
214
        end
215 67 creep
 
216
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.