OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [t6507lp_fsm_tb.v] - Blame information for rev 87

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 67 creep
////////////////////////////////////////////////////////////////////////////
2
////                                                                    ////
3
//// T6507LP IP Core                                                    ////
4
////                                                                    ////
5
//// This file is part of the T6507LP project                           ////
6
//// http://www.opencores.org/cores/t6507lp/                            ////
7
////                                                                    ////
8
//// Description                                                        ////
9
//// 6507 FSM                                                           ////
10
////                                                                    ////
11
//// TODO:                                                              ////
12
//// - Perform simple tests before going into serious verification      ////
13
////                                                                    ////
14
//// Author(s):                                                         ////
15
//// - Gabriel Oshiro Zardo, gabrieloshiro@gmail.com                    ////
16
//// - Samuel Nascimento Pagliarini (creep), snpagliarini@gmail.com     ////
17
////                                                                    ////
18
////////////////////////////////////////////////////////////////////////////
19
////                                                                    ////
20
//// Copyright (C) 2001 Authors and OPENCORES.ORG                       ////
21
////                                                                    ////
22
//// This source file may be used and distributed without               ////
23
//// restriction provided that this copyright statement is not          ////
24
//// removed from the file and that any derivative work contains        ////
25
//// the original copyright notice and the associated disclaimer.       ////
26
////                                                                    ////
27
//// This source file is free software; you can redistribute it         ////
28
//// and/or modify it under the terms of the GNU Lesser General         ////
29
//// Public License as published by the Free Software Foundation;       ////
30
//// either version 2.1 of the License, or (at your option) any         ////
31
//// later version.                                                     ////
32
////                                                                    ////
33
//// This source is distributed in the hope that it will be             ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied         ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR            ////
36
//// PURPOSE. See the GNU Lesser General Public License for more        ////
37
//// details.                                                           ////
38
////                                                                    ////
39
//// You should have received a copy of the GNU Lesser General          ////
40
//// Public License along with this source; if not, download it         ////
41
//// from http://www.opencores.org/lgpl.shtml                           ////
42
////                                                                    ////
43
////////////////////////////////////////////////////////////////////////////
44
 
45
 
46
`timescale 1ns / 1ps
47
 
48
module t6507lp_fsm_tb();
49 71 creep
        reg clk;
50
        reg reset_n;
51 67 creep
        reg [7:0] alu_result;
52
        reg [7:0] alu_status;
53
        reg [7:0] data_in;
54
 
55 86 creep
        reg [7:0] alu_x;
56
        reg [7:0] alu_y;
57
 
58 67 creep
        wire [12:0] address;
59
        wire control; // one bit is enough? read = 0, write = 1
60
        wire [7:0] data_out;
61
        wire [7:0] alu_opcode;
62
        wire [7:0] alu_a;
63
        wire alu_enable;
64
 
65
        `include "../T6507LP_Package.v"
66
 
67 86 creep
        t6507lp_fsm #(8,13) my_dut(clk, reset_n, alu_result, alu_status, data_in, address, control, data_out, alu_opcode, alu_a, alu_enable, alu_x, alu_y);
68 67 creep
 
69 71 creep
        always #10 clk = ~clk;
70 67 creep
 
71 86 creep
        reg[7:0] fake_mem[40:0];
72 71 creep
 
73 67 creep
        initial begin
74 71 creep
                clk = 0;
75
                reset_n = 1'b0;
76
                alu_result = 8'h01;
77 67 creep
                alu_status = 0;
78 86 creep
                alu_x = 8'h07;
79
                alu_y = 8'h03;
80 67 creep
 
81 71 creep
                fake_mem[0] = ASL_ACC; // testing ACC mode
82
                fake_mem[1] = ADC_IMM; // testing IMM mode
83
                fake_mem[2] = 8'h27;
84
                fake_mem[3] = JMP_ABS; // testing ABS mode, JMP type
85
                fake_mem[4] = 8'h09;
86
                fake_mem[5] = 8'h00;
87
                fake_mem[6] = ASL_ACC; // wont be executed
88
                fake_mem[7] = ASL_ACC; // wont be executed
89
                fake_mem[8] = ASL_ACC; // wont be executed
90
                fake_mem[9] = ASL_ACC; // wont be executed
91
                fake_mem[10] = LDA_ABS; // testing ABS mode, READ type. A = MEM[0002]. (a=27)
92
                fake_mem[11] = 8'h02;
93
                fake_mem[12] = 8'h00;
94
                fake_mem[13] = ASL_ABS; // testing ABS mode, READ_MODIFY_WRITE type. should overwrite the first ASL_ACC
95 76 creep
                fake_mem[14] = 8'h00;
96 71 creep
                fake_mem[15] = 8'h00;
97 76 creep
                fake_mem[16] = STA_ABS; // testing ABS mode, WRITE type. should write alu_result on MEM[1]
98
                fake_mem[17] = 8'h01;
99
                fake_mem[18] = 8'h00;
100
                fake_mem[19] = LDA_ZPG; // testing ZPG mode, READ type
101
                fake_mem[20] = 8'h00;
102 78 creep
                fake_mem[21] = ASL_ZPG; // testing ZPG mode, READ_MODIFY_WRITE type
103
                fake_mem[22] = 8'h00;
104
                fake_mem[23] = STA_ZPG; // testing ZPG mode, WRITE type
105
                fake_mem[24] = 8'h00;
106 86 creep
                fake_mem[25] = LDA_ZPX; // testing ZPX mode, READ type. A = MEM[x+1]
107
                fake_mem[26] = 8'h01;
108
                fake_mem[27] = ASL_ZPX; // testing ZPX mode, READ_MODIFY_WRITE type. MEM[x+1] = MEM[x+1] << 1;
109
                fake_mem[28] = 8'h01;
110
                fake_mem[29] = STA_ZPX; // testing ZPX mode, WRITE type. MEM[x+2] = A;
111
                fake_mem[30] = 8'h02;
112 87 creep
                fake_mem[31] = LDA_ABX; // testing ABX mode, READ TYPE. No page crossed.
113
                fake_mem[32] = 8'h0a;
114
                fake_mem[33] = 8'h00;
115 86 creep
 
116 67 creep
 
117 71 creep
                @(negedge clk) // will wait for next negative edge of the clock (t=20)
118
                reset_n=1'b1;
119
 
120 67 creep
 
121 87 creep
                #2000;
122 67 creep
                $finish; // to shut down the simulation
123
        end //initial
124
 
125 71 creep
        always @(clk) begin
126
                if (control == 0) begin // MEM_READ
127
                        data_in <= fake_mem[address];
128
                        $write("\nreading from mem position %h: %h", address, fake_mem[address]);
129
                end
130
                else if (control == 1'b1) begin // MEM_WRITE
131
                        fake_mem[address] <= data_out;
132
                        $write("\nreading from mem position %h: %h", address, fake_mem[address]);
133
                end
134
        end
135 67 creep
 
136
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.