OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [test_top.v] - Blame information for rev 226

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 224 creep
////////////////////////////////////////////////////////////////////////////
2
////                                                                    ////
3
//// t2600 IP Core                                                      ////
4
////                                                                    ////
5
//// This file is part of the t2600 project                             ////
6
//// http://www.opencores.org/cores/t2600/                              ////
7
////                                                                    ////
8
//// Description                                                        ////
9
//// VGA controller                                                     ////
10
////                                                                    ////
11
//// TODO:                                                              ////
12
//// - Feed the controller with data                                    ////
13
////                                                                    ////
14
//// Author(s):                                                         ////
15
//// - Gabriel Oshiro Zardo, gabrieloshiro@gmail.com                    ////
16
//// - Samuel Nascimento Pagliarini (creep), snpagliarini@gmail.com     ////
17
////                                                                    ////
18
////////////////////////////////////////////////////////////////////////////
19
////                                                                    ////
20
//// Copyright (C) 2001 Authors and OPENCORES.ORG                       ////
21
////                                                                    ////
22
//// This source file may be used and distributed without               ////
23
//// restriction provided that this copyright statement is not          ////
24
//// removed from the file and that any derivative work contains        ////
25
//// the original copyright notice and the associated disclaimer.       ////
26
////                                                                    ////
27
//// This source file is free software; you can redistribute it         ////
28
//// and/or modify it under the terms of the GNU Lesser General         ////
29
//// Public License as published by the Free Software Foundation;       ////
30
//// either version 2.1 of the License, or (at your option) any         ////
31
//// later version.                                                     ////
32
////                                                                    ////
33
//// This source is distributed in the hope that it will be             ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied         ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR            ////
36
//// PURPOSE. See the GNU Lesser General Public License for more        ////
37
//// details.                                                           ////
38
////                                                                    ////
39
//// You should have received a copy of the GNU Lesser General          ////
40
//// Public License along with this source; if not, download it         ////
41
//// from http://www.opencores.org/lgpl.shtml                           ////
42
////                                                                    ////
43
////////////////////////////////////////////////////////////////////////////
44
 
45
`include "timescale.v"
46
 
47 226 creep
module test_top(reset_n, clk_50, SW, VGA_R, VGA_G, VGA_B, LEDR, VGA_VS, VGA_HS);
48 224 creep
 
49 226 creep
input reset_n;
50 224 creep
input clk_50;
51
input [8:0] SW;
52
output [3:0] VGA_R;
53
output [3:0] VGA_G;
54
output [3:0] VGA_B;
55
output [9:0] LEDR;
56
output VGA_VS;
57
output VGA_HS;
58
 
59 226 creep
wire [479:0] line;
60
wire [4:0] vert_counter;
61 224 creep
 
62
        vga_controller vga_controller (
63 226 creep
                .reset_n(reset_n),
64 224 creep
                .clk_50(clk_50),
65 226 creep
                .line(line),
66 224 creep
                .SW(SW),
67
                .VGA_R(VGA_R),
68
                .VGA_G(VGA_G),
69
                .VGA_B(VGA_B),
70
                .LEDR(LEDR),
71
                .VGA_VS(VGA_VS),
72 226 creep
                .VGA_HS(VGA_HS),
73
                .vert_counter(vert_counter)
74 224 creep
        );
75
 
76 226 creep
        controller_test controller_test (
77
                .reset_n(reset_n),
78 225 gabrielosh
                .clk_50(clk_50),
79 224 creep
                .line(line),
80
                .vert_counter(vert_counter)
81
        );
82
 
83
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.