OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [test_top.v] - Blame information for rev 234

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 224 creep
////////////////////////////////////////////////////////////////////////////
2
////                                                                    ////
3
//// t2600 IP Core                                                      ////
4
////                                                                    ////
5
//// This file is part of the t2600 project                             ////
6
//// http://www.opencores.org/cores/t2600/                              ////
7
////                                                                    ////
8
//// Description                                                        ////
9
//// VGA controller                                                     ////
10
////                                                                    ////
11
//// TODO:                                                              ////
12
//// - Feed the controller with data                                    ////
13
////                                                                    ////
14
//// Author(s):                                                         ////
15
//// - Gabriel Oshiro Zardo, gabrieloshiro@gmail.com                    ////
16
//// - Samuel Nascimento Pagliarini (creep), snpagliarini@gmail.com     ////
17
////                                                                    ////
18
////////////////////////////////////////////////////////////////////////////
19
////                                                                    ////
20
//// Copyright (C) 2001 Authors and OPENCORES.ORG                       ////
21
////                                                                    ////
22
//// This source file may be used and distributed without               ////
23
//// restriction provided that this copyright statement is not          ////
24
//// removed from the file and that any derivative work contains        ////
25
//// the original copyright notice and the associated disclaimer.       ////
26
////                                                                    ////
27
//// This source file is free software; you can redistribute it         ////
28
//// and/or modify it under the terms of the GNU Lesser General         ////
29
//// Public License as published by the Free Software Foundation;       ////
30
//// either version 2.1 of the License, or (at your option) any         ////
31
//// later version.                                                     ////
32
////                                                                    ////
33
//// This source is distributed in the hope that it will be             ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied         ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR            ////
36
//// PURPOSE. See the GNU Lesser General Public License for more        ////
37
//// details.                                                           ////
38
////                                                                    ////
39
//// You should have received a copy of the GNU Lesser General          ////
40
//// Public License along with this source; if not, download it         ////
41
//// from http://www.opencores.org/lgpl.shtml                           ////
42
////                                                                    ////
43
////////////////////////////////////////////////////////////////////////////
44
 
45
`include "timescale.v"
46
 
47 226 creep
module test_top(reset_n, clk_50, SW, VGA_R, VGA_G, VGA_B, LEDR, VGA_VS, VGA_HS);
48 224 creep
 
49 226 creep
input reset_n;
50 224 creep
input clk_50;
51
input [8:0] SW;
52
output [3:0] VGA_R;
53
output [3:0] VGA_G;
54
output [3:0] VGA_B;
55
output [9:0] LEDR;
56
output VGA_VS;
57
output VGA_HS;
58
 
59 233 creep
wire [2:0] pixel;
60
wire [10:0] read_addr;
61
wire [10:0] write_addr;
62
wire [2:0] read_data;
63
wire [2:0] write_data;
64
wire write_enable_n;
65
wire clk_358;
66 224 creep
 
67
        vga_controller vga_controller (
68 226 creep
                .reset_n(reset_n),
69 224 creep
                .clk_50(clk_50),
70 230 creep
                .pixel(pixel),
71 224 creep
                .SW(SW),
72
                .VGA_R(VGA_R),
73
                .VGA_G(VGA_G),
74
                .VGA_B(VGA_B),
75
                .LEDR(LEDR),
76
                .VGA_VS(VGA_VS),
77 226 creep
                .VGA_HS(VGA_HS),
78 233 creep
                .read_addr(read_addr),
79
                .read_data(read_data)
80 224 creep
        );
81
 
82 226 creep
        controller_test controller_test (
83
                .reset_n(reset_n),
84 225 gabrielosh
                .clk_50(clk_50),
85 230 creep
                .pixel(pixel),
86 233 creep
                .write_addr(write_addr),
87
                .write_data(write_data),
88
                .write_enable_n(write_enable_n),
89 232 creep
                .clk_358(clk_358)
90 224 creep
        );
91
 
92 233 creep
        video_mem video_mem (
93
                .clk_358(clk_358),
94
                .reset_n(reset_n),
95
                .write_addr(write_addr),
96
                .write_enable_n(write_enable_n),
97
                .read_addr(read_addr),
98
                .write_data(write_data),
99
                .read_data(read_data)
100
        );
101
 
102 224 creep
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.