OpenCores
URL https://opencores.org/ocsvn/t80/t80/trunk

Subversion Repositories t80

[/] [t80/] [trunk/] [bench/] [vhdl/] [DebugSystem_TB.vhd] - Blame information for rev 47

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 jesus
library IEEE;
2
use IEEE.std_logic_1164.all;
3
use work.StimLog.all;
4
 
5
entity DebugSystem_TB is
6
end entity DebugSystem_TB;
7
 
8
architecture behaviour of DebugSystem_TB is
9
 
10
        signal Reset_n          : std_logic;
11
        signal Clk                      : std_logic := '0';
12
        signal NMI_n            : std_logic := '1';
13
 
14
        signal TXD0                     : std_logic;
15
        signal RTS0                     : std_logic;
16
        signal DTR0                     : std_logic;
17
        signal RXD0                     : std_logic;
18
        signal CTS0                     : std_logic := '0';
19
        signal DSR0                     : std_logic := '0';
20
        signal RI0                      : std_logic := '1';
21
        signal DCD0                     : std_logic := '0';
22
 
23
        signal TXD1                     : std_logic;
24
        signal RTS1                     : std_logic;
25
        signal DTR1                     : std_logic;
26
        signal RXD1                     : std_logic;
27
        signal CTS1                     : std_logic := '0';
28
        signal DSR1                     : std_logic := '0';
29
        signal RI1                      : std_logic := '1';
30
        signal DCD1                     : std_logic := '0';
31
 
32
begin
33
 
34
        ni : entity work.DebugSystem
35
                port map(
36
                        Reset_n => Reset_n,
37
                        Clk => Clk,
38
                        NMI_n => NMI_n,
39
                        RXD0 => RXD0,
40
                        CTS0 => CTS0,
41
                        DSR0 => DSR0,
42
                        RI0 => RI0,
43
                        DCD0 => DCD0,
44
                        RXD1 => RXD1,
45
                        CTS1 => CTS1,
46
                        DSR1 => DSR1,
47
                        RI1 => RI1,
48
                        DCD1 => DCD1,
49
                        TXD0 => TXD0,
50
                        RTS0 => RTS0,
51
                        DTR0 => DTR0,
52
                        TXD1 => TXD1,
53
                        RTS1 => RTS1,
54
                        DTR1 => DTR1);
55
 
56
        as0 : AsyncStim generic map(FileName => "../../../bench/vhdl/ROM80.vhd", InterCharDelay => 0 us, Baud => 115200, Bits => 8)
57
                                port map(RXD0);
58
 
59
        al0 : AsyncLog generic map(FileName => "RX_Log0.txt", Baud => 115200, Bits => 8)
60
                                port map(TXD0);
61
 
62
        as1 : AsyncStim generic map(FileName => "RX_Cmd1.txt", InterCharDelay => 0 us, Baud => 115200, Bits => 8)
63
                                port map(RXD1);
64
 
65
        al1 : AsyncLog generic map(FileName => "RX_Log1.txt", Baud => 115200, Bits => 8)
66
                                port map(TXD1);
67
 
68
        Reset_n <= '0', '1' after 1 us;
69
 
70
        -- 18 MHz clock
71
        Clk <= not Clk after 27 ns;
72
 
73
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.