OpenCores
URL https://opencores.org/ocsvn/t80/t80/trunk

Subversion Repositories t80

[/] [t80/] [trunk/] [bench/] [vhdl/] [StimLog.vhd] - Blame information for rev 47

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jesus
--
2
-- File I/O test-bench utilities
3
--
4
-- Version : 0146
5
--
6
-- Copyright (c) 2001 Daniel Wallner (jesus@opencores.org)
7
--
8
-- All rights reserved
9
--
10
-- Redistribution and use in source and synthezised forms, with or without
11
-- modification, are permitted provided that the following conditions are met:
12
--
13
-- Redistributions of source code must retain the above copyright notice,
14
-- this list of conditions and the following disclaimer.
15
--
16
-- Redistributions in synthesized form must reproduce the above copyright
17
-- notice, this list of conditions and the following disclaimer in the
18
-- documentation and/or other materials provided with the distribution.
19
--
20
-- Neither the name of the author nor the names of other contributors may
21
-- be used to endorse or promote products derived from this software without
22
-- specific prior written permission.
23
--
24
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
25
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
26
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
27
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
28
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
29
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
30
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
31
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
32
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
33
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
34
-- POSSIBILITY OF SUCH DAMAGE.
35
--
36
-- Please report bugs to the author, but before you do so, please
37
-- make sure that this is not a derivative work and that
38
-- you have the latest version of this file.
39
--
40
-- The latest version of this file can be found at:
41
--      http://www.opencores.org/cvsweb.shtml/t51/
42
--
43
-- Limitations :
44
--
45
-- File history :
46
--
47
 
48
library IEEE;
49
use IEEE.std_logic_1164.all;
50
 
51
package StimLog is
52
 
53
        component AsyncStim
54
        generic(
55
                FileName                : string;
56
                Baud                    : integer;
57
                InterCharDelay  : time := 0 ns;
58
                Bits                    : integer := 8;         -- Data bits
59
                Parity                  : boolean := false;     -- Enable Parity
60
                P_Odd_Even_n    : boolean := false      -- false => Even Parity, true => Odd Parity
61
        );
62
        port(
63
                TXD                             : out std_logic
64
        );
65
        end component;
66
 
67
        component AsyncLog
68
        generic(
69
                FileName                : string;
70
                Baud                    : integer;
71
                Bits                    : integer := 8;         -- Data bits
72
                Parity                  : boolean := false;     -- Enable Parity
73
                P_Odd_Even_n    : boolean := false      -- false => Even Parity, true => Odd Parity
74
        );
75
        port(
76
                RXD                             : in std_logic
77
        );
78
        end component;
79
 
80
        component BinaryStim
81
        generic(
82
                FileName                : string;
83
                Bytes                   : integer := 1;         -- Number of bytes per word
84
                LittleEndian    : boolean := true       -- Byte order
85
        );
86
        port(
87
                Rd                              : in std_logic;
88
                Data                    : out std_logic_vector(Bytes * 8 - 1 downto 0)
89
        );
90
        end component;
91
 
92
        component BinaryLog
93
        generic(
94
                FileName                : string;
95
                Bytes                   : integer := 1;         -- Number of bytes per word
96
                LittleEndian    : boolean := true       -- Byte order
97
        );
98
        port(
99
                Clk                             : in std_logic;
100
                En                              : in std_logic;
101
                Data                    : in std_logic_vector(Bytes * 8 - 1 downto 0)
102
        );
103
        end component;
104
 
105
        component I2SStim is
106
        generic(
107
                FileName                : string;
108
                Bytes                   : integer := 2;         -- Number of bytes per word (1 to 4)
109
                LittleEndian    : boolean := true       -- Byte order
110
        );
111
        port(
112
                BClk                    : in std_logic;
113
                FSync                   : in std_logic;
114
                SData                   : out std_logic
115
        );
116
        end component;
117
 
118
        component I2SLog is
119
        generic(
120
                FileName                : string;
121
                Bytes                   : integer := 2;         -- Number of bytes per word
122
                LittleEndian    : boolean := true       -- Byte order
123
        );
124
        port(
125
                BClk                    : in std_logic;
126
                FSync                   : in std_logic;
127
                SData                   : in std_logic
128
        );
129
        end component;
130
 
131
        component IntegerLog is
132
        generic(
133
                FileName                : string
134
        );
135
        port(
136
                Clk                             : in std_logic;
137
                En                              : in std_logic;
138
                Data                    : in integer
139
        );
140
        end component;
141
 
142
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.