OpenCores
URL https://opencores.org/ocsvn/t80/t80/trunk

Subversion Repositories t80

[/] [t80/] [trunk/] [rtl/] [vhdl/] [SSRAMX.vhd] - Blame information for rev 27

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 jesus
--
2
-- Xilinx Block RAM, 8 bit wide and variable size (Min. 512 bytes)
3
--
4
-- Version : 0240
5
--
6
-- Copyright (c) 2002 Daniel Wallner (jesus@opencores.org)
7
--
8
-- All rights reserved
9
--
10
-- Redistribution and use in source and synthezised forms, with or without
11
-- modification, are permitted provided that the following conditions are met:
12
--
13
-- Redistributions of source code must retain the above copyright notice,
14
-- this list of conditions and the following disclaimer.
15
--
16
-- Redistributions in synthesized form must reproduce the above copyright
17
-- notice, this list of conditions and the following disclaimer in the
18
-- documentation and/or other materials provided with the distribution.
19
--
20
-- Neither the name of the author nor the names of other contributors may
21
-- be used to endorse or promote products derived from this software without
22
-- specific prior written permission.
23
--
24
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
25
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
26
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
27
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
28
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
29
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
30
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
31
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
32
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
33
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
34
-- POSSIBILITY OF SUCH DAMAGE.
35
--
36
-- Please report bugs to the author, but before you do so, please
37
-- make sure that this is not a derivative work and that
38
-- you have the latest version of this file.
39
--
40
-- The latest version of this file can be found at:
41
--      http://www.opencores.org/cvsweb.shtml/t51/
42
--
43
-- Limitations :
44
--
45
-- File history :
46
--
47
--      0240 : Initial release
48
--
49
 
50
library IEEE;
51
use IEEE.std_logic_1164.all;
52
use IEEE.numeric_std.all;
53
library UNISIM;
54
use UNISIM.vcomponents.all;
55
 
56
entity SSRAM is
57
        generic(
58
                AddrWidth       : integer := 11;
59
                DataWidth       : integer := 8
60
        );
61
        port(
62
                Clk                     : in std_logic;
63
                CE_n            : in std_logic;
64
                WE_n            : in std_logic;
65
                A                       : in std_logic_vector(AddrWidth - 1 downto 0);
66
                DIn                     : in std_logic_vector(DataWidth - 1 downto 0);
67
                DOut            : out std_logic_vector(DataWidth - 1 downto 0)
68
        );
69
end SSRAM;
70
 
71
architecture rtl of SSRAM is
72
 
73
        constant RAMs : integer := (2 ** AddrWidth) / 512;
74
 
75
        type bRAMOut_a is array(0 to RAMs - 1) of std_logic_vector(7 downto 0);
76
 
77
        signal bRAMOut : bRAMOut_a;
78
        signal biA_r : integer;
79
        signal A_r : unsigned(A'left downto 0);
80
        signal A_i : std_logic_vector(8 downto 0);
81
        signal WEA : std_logic_vector(RAMs - 1 downto 0);
82
 
83
begin
84
 
85
        process (Clk)
86
        begin
87
                if Clk'event and Clk = '1' then
88
                        A_r <= unsigned(A);
89
                end if;
90
        end process;
91
 
92
        biA_r <= to_integer(A_r(A'left downto 9));
93
        A_i <= std_logic_vector(A_r(8 downto 0)) when (CE_n nor WE_n) = '1' else A(8 downto 0);
94
 
95
        bG1: for I in 0 to RAMs - 1 generate
96
        begin
97
                WEA(I) <= '1' when (CE_n nor WE_n) = '1' and biA_r = I else '0';
98
                BSSRAM : RAMB4_S8
99
                        port map (DIn, '1', WEA(I), '0', Clk, A_i, bRAMOut(I));
100
        end generate;
101
 
102
        process (biA_r, bRAMOut)
103
        begin
104
                DOut <= bRAMOut(0);
105
                for I in 1 to RAMs - 1 loop
106
                        if biA_r = I then
107
                                DOut <= bRAMOut(I);
108
                        end if;
109
                end loop;
110
        end process;
111
 
112
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.