OpenCores
URL https://opencores.org/ocsvn/tdm/tdm/trunk

Subversion Repositories tdm

[/] [tdm/] [trunk/] [code/] [libs/] [components_pkg.vhd] - Blame information for rev 8

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 khatib
-------------------------------------------------------------------------------
2
-- Title      : ISDN tdm controller
3
-- Project    : TDM controller
4
-------------------------------------------------------------------------------
5
-- File       : components_pkg.vhd
6
-- Author     : Jamil Khatib  <khatib@ieee.org>
7
-- Organization:  OpenCores.org
8
-- Created    : 2001/05/06
9 4 khatib
-- Last update:2001/05/22
10 2 khatib
-- Platform   : 
11
-- Simulators  : NC-sim/linux, Modelsim XE/windows98
12
-- Synthesizers: Leonardo
13
-- Target      : 
14
-- Dependency  : ieee.std_logic_1164
15
-------------------------------------------------------------------------------
16
-- Description:  tdm components
17
-------------------------------------------------------------------------------
18
-- Copyright (c) 2001  Jamil Khatib
19
-- 
20
-- This VHDL design file is an open design; you can redistribute it and/or
21
-- modify it and/or implement it after contacting the author
22
-- You can check the draft license at
23
-- http://www.opencores.org/OIPC/license.shtml
24
-------------------------------------------------------------------------------
25
-- Revisions  :
26
-- Revision Number :   1
27
-- Version         :   0.1
28
-- Date            :  2001/05/06
29
-- Modifier        :  Jamil Khatib  <khatib@ieee.org>
30
-- Desccription    :  Created
31
-- ToOptimize      :
32
-- Known Bugs      : 
33
-------------------------------------------------------------------------------
34
-- $Log: not supported by cvs2svn $
35 4 khatib
-- Revision 1.3  2001/05/24 22:46:33  jamil
36
-- TDM components added
37 2 khatib
--
38 4 khatib
-- Revision 1.2  2001/05/18 09:09:02  jamil
39
-- TDM components added
40
--
41
--
42 2 khatib
-------------------------------------------------------------------------------
43
 
44
LIBRARY ieee;
45
USE ieee.std_logic_1164.ALL;
46
 
47
PACKAGE components_pkg IS
48
 
49
  COMPONENT isdn_cont_ent
50
    PORT (
51 4 khatib
      rst_n     : IN  STD_LOGIC;
52
      C2        : IN  STD_LOGIC;
53
      DSTi      : IN  STD_LOGIC;
54
      DSTo      : OUT STD_LOGIC;
55
      F0_n      : IN  STD_LOGIC;
56
      F0od_n    : OUT STD_LOGIC;
57
      HDLCen1   : OUT STD_LOGIC;
58
      HDLCen2   : OUT STD_LOGIC;
59
      HDLCen3   : OUT STD_LOGIC;
60
      HDLCTxen1 : OUT STD_LOGIC;
61
      HDLCTxen2 : OUT STD_LOGIC;
62
      HDLCTxen3 : OUT STD_LOGIC;
63
      Dout      : OUT STD_LOGIC;
64
      Din1      : IN  STD_LOGIC;
65
      Din2      : IN  STD_LOGIC;
66
      Din3      : IN  STD_LOGIC);
67 2 khatib
  END COMPONENT;
68
 
69 4 khatib
 
70
 
71
  COMPONENT tdm_cont_ent
72
    PORT (
73
      rst_n          : IN  STD_LOGIC;
74
      C2             : IN  STD_LOGIC;
75
      DSTi           : IN  STD_LOGIC;
76
      DSTo           : OUT STD_LOGIC;
77
      F0_n           : IN  STD_LOGIC;
78
      F0od_n         : OUT STD_LOGIC;
79
      CLK_I          : IN  STD_LOGIC;
80
      NoChannels     : IN  STD_LOGIC_VECTOR(4 DOWNTO 0);
81
      DropChannels   : IN  STD_LOGIC_VECTOR(4 DOWNTO 0);
82
      RxD            : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
83
      RxValidData    : OUT STD_LOGIC;
84
      FramErr        : OUT STD_LOGIC;
85
      RxRead         : IN  STD_LOGIC;
86
      RxRdy          : OUT STD_LOGIC;
87
      TxErr          : OUT STD_LOGIC;
88
      TxD            : IN  STD_LOGIC_VECTOR(7 DOWNTO 0);
89
      TxValidData    : IN  STD_LOGIC;
90
      TxWrite        : IN  STD_LOGIC;
91
      TxRdy          : OUT STD_LOGIC;
92
      EnableSerialIF : IN  STD_LOGIC;
93
      Tx_en0         : OUT STD_LOGIC;
94
      Tx_en1         : OUT STD_LOGIC;
95
      Tx_en2         : OUT STD_LOGIC;
96
      Rx_en0         : OUT STD_LOGIC;
97
      Rx_en1         : OUT STD_LOGIC;
98
      Rx_en2         : OUT STD_LOGIC;
99
      SerDo          : OUT STD_LOGIC;
100
      SerDi          : IN  STD_LOGIC);
101
  END COMPONENT;
102
 
103
 
104
  COMPONENT TxTDMBuff
105
    PORT (
106
      CLK_I       : IN  STD_LOGIC;
107
      rst_n       : IN  STD_LOGIC;
108
      TxD         : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
109
      TxValidData : OUT STD_LOGIC;
110
      TxWrite     : OUT STD_LOGIC;
111
      TxRdy       : IN  STD_LOGIC;
112
      WrBuff      : IN  STD_LOGIC;
113
      TxData      : IN  STD_LOGIC_VECTOR(7 DOWNTO 0);
114
      DropChannels : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
115
      NoChannels  : IN  STD_LOGIC_VECTOR(4 DOWNTO 0);
116
      TxDone      : OUT STD_LOGIC;
117
      TxOverflow  : OUT STD_LOGIC);
118
  END COMPONENT;
119
 
120
  COMPONENT RxTDMBuff
121
    PORT (
122
      CLK_I           : IN  STD_LOGIC;
123
      rst_n           : IN  STD_LOGIC;
124
      RxD             : IN  STD_LOGIC_VECTOR(7 DOWNTO 0);
125
      RxRead          : OUT STD_LOGIC;
126
      RxRdy           : IN  STD_LOGIC;
127
      RxValidData     : IN  STD_LOGIC;
128
      BufferDataAvail : OUT STD_LOGIC;
129
      ReadBuff        : IN  STD_LOGIC;
130
      RxData          : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
131
      RxError         : OUT STD_LOGIC);
132
  END COMPONENT;
133
 
134
 
135
  COMPONENT tdm_cont_top_ent
136
    PORT (
137
      CLK_I  : IN  STD_LOGIC;
138
      RST_I  : IN  STD_LOGIC;
139
      ACK_O  : OUT STD_LOGIC;
140
      ADR_I  : IN  STD_LOGIC_VECTOR(2 DOWNTO 0);
141
      CYC_I  : IN  STD_LOGIC;
142
      DAT_I  : IN  STD_LOGIC_VECTOR(31 DOWNTO 0);
143
      DAT_O  : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
144
      RTY_O  : OUT STD_LOGIC;
145
      STB_I  : IN  STD_LOGIC;
146
      WE_I   : IN  STD_LOGIC;
147
      TAG0_O : OUT STD_LOGIC;
148
      TAG1_O : OUT STD_LOGIC;
149
      C2     : IN  STD_LOGIC;
150
      DSTi   : IN  STD_LOGIC;
151
      DSTo   : OUT STD_LOGIC;
152
      F0_n   : IN  STD_LOGIC;
153
      F0od_n : OUT STD_LOGIC);
154
  END COMPONENT;
155
 
156
 
157
  COMPONENT tdm_wb_if_ent
158
    PORT (
159
      CLK_I          : IN  STD_LOGIC;
160
      RST_I          : IN  STD_LOGIC;
161
      ACK_O          : OUT STD_LOGIC;
162
      ADR_I          : IN  STD_LOGIC_VECTOR(2 DOWNTO 0);
163
      CYC_I          : IN  STD_LOGIC;
164
      DAT_I          : IN  STD_LOGIC_VECTOR(31 DOWNTO 0);
165
      DAT_O          : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
166
      RTY_O          : OUT STD_LOGIC;
167
      STB_I          : IN  STD_LOGIC;
168
      WE_I           : IN  STD_LOGIC;
169
      TAG0_O         : OUT STD_LOGIC;
170
      TAG1_O         : OUT STD_LOGIC;
171
      TxDone         : IN  STD_LOGIC;
172
      WrBuff         : OUT STD_LOGIC;
173
      TxData         : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
174
      TxOverflow     : IN  STD_LOGIC;
175
      TxUnderflow    : IN  STD_LOGIC;
176
      RxRdy          : IN  STD_LOGIC;
177
      ReadBuff       : OUT STD_LOGIC;
178
      RxData         : IN  STD_LOGIC_VECTOR(7 DOWNTO 0);
179
      RxOverflow     : IN  STD_LOGIC;
180
      RxLineOverflow : IN  STD_LOGIC;
181
      HDLCen         : OUT STD_LOGIC;
182
      NoChannels     : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
183
      DropChannels   : OUT STD_LOGIC_VECTOR(4 DOWNTO 0));
184
  END COMPONENT;
185
 
186 2 khatib
END components_pkg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.