OpenCores
URL https://opencores.org/ocsvn/test_project/test_project/trunk

Subversion Repositories test_project

[/] [test_project/] [trunk/] [rtl/] [verilog/] [components/] [or1200r2/] [or1200_spram_2048x8.v] - Blame information for rev 40

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 18 unneback
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Generic Single-Port Synchronous RAM                         ////
4
////                                                              ////
5
////  This file is part of memory library available from          ////
6
////  http://www.opencores.org/cvsweb.shtml/generic_memories/     ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  This block is a wrapper with common single-port             ////
10
////  synchronous memory interface for different                  ////
11
////  types of ASIC and FPGA RAMs. Beside universal memory        ////
12
////  interface it also provides behavioral model of generic      ////
13
////  single-port synchronous RAM.                                ////
14
////  It should be used in all OPENCORES designs that want to be  ////
15
////  portable accross different target technologies and          ////
16
////  independent of target memory.                               ////
17
////                                                              ////
18
////  Supported ASIC RAMs are:                                    ////
19
////  - Artisan Single-Port Sync RAM                              ////
20
////  - Avant! Two-Port Sync RAM (*)                              ////
21
////  - Virage Single-Port Sync RAM                               ////
22
////  - Virtual Silicon Single-Port Sync RAM                      ////
23
////                                                              ////
24
////  Supported FPGA RAMs are:                                    ////
25
////  - Xilinx Virtex RAMB16                                      ////
26
////  - Xilinx Virtex RAMB4                                       ////
27
////  - Altera LPM                                                ////
28
////                                                              ////
29
////  To Do:                                                      ////
30
////   - xilinx rams need external tri-state logic                ////
31
////   - fix avant! two-port ram                                  ////
32
////   - add additional RAMs                                      ////
33
////                                                              ////
34
////  Author(s):                                                  ////
35
////      - Damjan Lampret, lampret@opencores.org                 ////
36
////                                                              ////
37
//////////////////////////////////////////////////////////////////////
38
////                                                              ////
39
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
40
////                                                              ////
41
//// This source file may be used and distributed without         ////
42
//// restriction provided that this copyright statement is not    ////
43
//// removed from the file and that any derivative work contains  ////
44
//// the original copyright notice and the associated disclaimer. ////
45
////                                                              ////
46
//// This source file is free software; you can redistribute it   ////
47
//// and/or modify it under the terms of the GNU Lesser General   ////
48
//// Public License as published by the Free Software Foundation; ////
49
//// either version 2.1 of the License, or (at your option) any   ////
50
//// later version.                                               ////
51
////                                                              ////
52
//// This source is distributed in the hope that it will be       ////
53
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
54
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
55
//// PURPOSE.  See the GNU Lesser General Public License for more ////
56
//// details.                                                     ////
57
////                                                              ////
58
//// You should have received a copy of the GNU Lesser General    ////
59
//// Public License along with this source; if not, download it   ////
60
//// from http://www.opencores.org/lgpl.shtml                     ////
61
////                                                              ////
62
//////////////////////////////////////////////////////////////////////
63
//
64
// CVS Revision History
65
//
66
// $Log: or1200_spram_2048x8.v,v $
67
// Revision 1.9  2005/10/19 11:37:56  jcastillo
68
// Added support for RAMB16 Xilinx4/Spartan3 primitives
69
//
70
// Revision 1.8  2004/06/08 18:15:32  lampret
71
// Changed behavior of the simulation generic models
72
//
73
// Revision 1.7  2004/04/05 08:29:57  lampret
74
// Merged branch_qmem into main tree.
75
//
76
// Revision 1.3.4.1  2003/12/09 11:46:48  simons
77
// Mbist nameing changed, Artisan ram instance signal names fixed, some synthesis waning fixed.
78
//
79
// Revision 1.3  2003/04/07 01:19:07  lampret
80
// Added Altera LPM RAMs. Changed generic RAM output when OE inactive.
81
//
82
// Revision 1.2  2002/10/17 20:04:40  lampret
83
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
84
//
85
// Revision 1.1  2002/01/03 08:16:15  lampret
86
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
87
//
88
// Revision 1.8  2001/11/02 18:57:14  lampret
89
// Modified virtual silicon instantiations.
90
//
91
// Revision 1.7  2001/10/21 17:57:16  lampret
92
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
93
//
94
// Revision 1.6  2001/10/14 13:12:09  lampret
95
// MP3 version.
96
//
97
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
98
// no message
99
//
100
// Revision 1.1  2001/08/09 13:39:33  lampret
101
// Major clean-up.
102
//
103
// Revision 1.2  2001/07/30 05:38:02  lampret
104
// Adding empty directories required by HDL coding guidelines
105
//
106
//
107
 
108
// synopsys translate_off
109
`include "timescale.v"
110
// synopsys translate_on
111
`include "or1200_defines.v"
112
 
113
module or1200_spram_2048x8(
114
`ifdef OR1200_BIST
115
        // RAM BIST
116
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
117
`endif
118
        // Generic synchronous single-port RAM interface
119
        clk, rst, ce, we, oe, addr, di, doq
120
);
121
 
122
//
123
// Default address and data buses width
124
//
125
parameter aw = 11;
126
parameter dw = 8;
127
 
128
`ifdef OR1200_BIST
129
//
130
// RAM BIST
131
//
132
input mbist_si_i;
133
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;
134
output mbist_so_o;
135
`endif
136
 
137
//
138
// Generic synchronous single-port RAM interface
139
//
140
input                   clk;    // Clock
141
input                   rst;    // Reset
142
input                   ce;     // Chip enable input
143
input                   we;     // Write enable input
144
input                   oe;     // Output enable input
145
input   [aw-1:0] addr;   // address bus inputs
146
input   [dw-1:0] di;     // input data bus
147
output  [dw-1:0] doq;    // output data bus
148
 
149
//
150
// Internal wires and registers
151
//
152
 
153
`ifdef OR1200_ARTISAN_SSP
154
`else
155
`ifdef OR1200_VIRTUALSILICON_SSP
156
`else
157
`ifdef OR1200_BIST
158
assign mbist_so_o = mbist_si_i;
159
`endif
160
`endif
161
`endif
162
 
163
`ifdef OR1200_ARTISAN_SSP
164
 
165
//
166
// Instantiation of ASIC memory:
167
//
168
// Artisan Synchronous Single-Port RAM (ra1sh)
169
//
170
`ifdef UNUSED
171
art_hssp_2048x8 #(dw, 1<<aw, aw) artisan_ssp(
172
`else
173
`ifdef OR1200_BIST
174
art_hssp_2048x8_bist artisan_ssp(
175
`else
176
art_hssp_2048x8 artisan_ssp(
177
`endif
178
`endif
179
`ifdef OR1200_BIST
180
        // RAM BIST
181
        .mbist_si_i(mbist_si_i),
182
        .mbist_so_o(mbist_so_o),
183
        .mbist_ctrl_i(mbist_ctrl_i),
184
`endif
185
        .CLK(clk),
186
        .CEN(~ce),
187
        .WEN(~we),
188
        .A(addr),
189
        .D(di),
190
        .OEN(~oe),
191
        .Q(doq)
192
);
193
 
194
`else
195
 
196
`ifdef OR1200_AVANT_ATP
197
 
198
//
199
// Instantiation of ASIC memory:
200
//
201
// Avant! Asynchronous Two-Port RAM
202
//
203
avant_atp avant_atp(
204
        .web(~we),
205
        .reb(),
206
        .oeb(~oe),
207
        .rcsb(),
208
        .wcsb(),
209
        .ra(addr),
210
        .wa(addr),
211
        .di(di),
212
        .doq(doq)
213
);
214
 
215
`else
216
 
217
`ifdef OR1200_VIRAGE_SSP
218
 
219
//
220
// Instantiation of ASIC memory:
221
//
222
// Virage Synchronous 1-port R/W RAM
223
//
224
virage_ssp virage_ssp(
225
        .clk(clk),
226
        .adr(addr),
227
        .d(di),
228
        .we(we),
229
        .oe(oe),
230
        .me(ce),
231
        .q(doq)
232
);
233
 
234
`else
235
 
236
`ifdef OR1200_VIRTUALSILICON_SSP
237
 
238
//
239
// Instantiation of ASIC memory:
240
//
241
// Virtual Silicon Single-Port Synchronous SRAM
242
//
243
`ifdef UNUSED
244
vs_hdsp_2048x8 #(1<<aw, aw-1, dw-1) vs_ssp(
245
`else
246
`ifdef OR1200_BIST
247
vs_hdsp_2048x8_bist vs_ssp(
248
`else
249
vs_hdsp_2048x8 vs_ssp(
250
`endif
251
`endif
252
`ifdef OR1200_BIST
253
        // RAM BIST
254
        .mbist_si_i(mbist_si_i),
255
        .mbist_so_o(mbist_so_o),
256
        .mbist_ctrl_i(mbist_ctrl_i),
257
`endif
258
        .CK(clk),
259
        .ADR(addr),
260
        .DI(di),
261
        .WEN(~we),
262
        .CEN(~ce),
263
        .OEN(~oe),
264
        .DOUT(doq)
265
);
266
 
267
`else
268
 
269
`ifdef OR1200_XILINX_RAMB4
270
 
271
//
272
// Instantiation of FPGA memory:
273
//
274
// Virtex/Spartan2
275
//
276
 
277
//
278
// Block 0
279
//
280
RAMB4_S2 ramb4_s2_0(
281
        .CLK(clk),
282
        .RST(rst),
283
        .ADDR(addr),
284
        .DI(di[1:0]),
285
        .EN(ce),
286
        .WE(we),
287
        .DO(doq[1:0])
288
);
289
 
290
//
291
// Block 1
292
//
293
RAMB4_S2 ramb4_s2_1(
294
        .CLK(clk),
295
        .RST(rst),
296
        .ADDR(addr),
297
        .DI(di[3:2]),
298
        .EN(ce),
299
        .WE(we),
300
        .DO(doq[3:2])
301
);
302
 
303
//
304
// Block 2
305
//
306
RAMB4_S2 ramb4_s2_2(
307
        .CLK(clk),
308
        .RST(rst),
309
        .ADDR(addr),
310
        .DI(di[5:4]),
311
        .EN(ce),
312
        .WE(we),
313
        .DO(doq[5:4])
314
);
315
 
316
//
317
// Block 3
318
//
319
RAMB4_S2 ramb4_s2_3(
320
        .CLK(clk),
321
        .RST(rst),
322
        .ADDR(addr),
323
        .DI(di[7:6]),
324
        .EN(ce),
325
        .WE(we),
326
        .DO(doq[7:6])
327
);
328
 
329
`else
330
 
331
`ifdef OR1200_XILINX_RAMB16
332
 
333
//
334
// Instantiation of FPGA memory:
335
//
336
// Virtex4/Spartan3E
337
//
338
// Added By Nir Mor
339
//
340
 
341
RAMB16_S9 ramb16_s9(
342
        .CLK(clk),
343
        .SSR(rst),
344
        .ADDR(addr),
345
        .DI(di),
346
        .DIP(1'b0),
347
        .EN(ce),
348
        .WE(we),
349
        .DO(doq),
350
        .DOP()
351
);
352
 
353
`else
354
 
355
`ifdef OR1200_ALTERA_LPM
356
 
357
//
358
// Instantiation of FPGA memory:
359
//
360
// Altera LPM
361
//
362
// Added By Jamil Khatib
363
//
364
 
365
wire    wr;
366
 
367
assign  wr = ce & we;
368
 
369
initial $display("Using Altera LPM.");
370
 
371
lpm_ram_dq lpm_ram_dq_component (
372
        .address(addr),
373
        .inclock(clk),
374
        .outclock(clk),
375
        .data(di),
376
        .we(wr),
377
        .q(doq)
378
);
379
 
380
defparam lpm_ram_dq_component.lpm_width = dw,
381
        lpm_ram_dq_component.lpm_widthad = aw,
382
        lpm_ram_dq_component.lpm_indata = "REGISTERED",
383
        lpm_ram_dq_component.lpm_address_control = "REGISTERED",
384
        lpm_ram_dq_component.lpm_outdata = "UNREGISTERED",
385
        lpm_ram_dq_component.lpm_hint = "USE_EAB=ON";
386
        // examplar attribute lpm_ram_dq_component NOOPT TRUE
387
 
388
`else
389
 
390
//
391
// Generic single-port synchronous RAM model
392
//
393
 
394
//
395
// Generic RAM's registers and wires
396
//
397
reg     [dw-1:0] mem [(1<<aw)-1:0];       // RAM content
398
reg     [aw-1:0] addr_reg;               // RAM address register
399
 
400
//
401
// Data output drivers
402
//
403
assign doq = (oe) ? mem[addr_reg] : {dw{1'b0}};
404
 
405
//
406
// RAM address register
407
//
408
always @(posedge clk or posedge rst)
409
        if (rst)
410
                addr_reg <= #1 {aw{1'b0}};
411
        else if (ce)
412
                addr_reg <= #1 addr;
413
 
414
//
415
// RAM write
416
//
417
always @(posedge clk)
418
        if (ce && we)
419
                mem[addr] <= #1 di;
420
 
421
`endif  // !OR1200_ALTERA_LPM
422
`endif  // !OR1200_XILINX_RAMB16
423
`endif  // !OR1200_XILINX_RAMB4
424
`endif  // !OR1200_VIRTUALSILICON_SSP
425
`endif  // !OR1200_VIRAGE_SSP
426
`endif  // !OR1200_AVANT_ATP
427
`endif  // !OR1200_ARTISAN_SSP
428
 
429
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.