OpenCores
URL https://opencores.org/ocsvn/tg68kc/tg68kc/trunk

Subversion Repositories tg68kc

[/] [tg68kc/] [trunk/] [TG68K_ALU.vhd] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobiflex
------------------------------------------------------------------------------
2
------------------------------------------------------------------------------
3
--                                                                          --
4 4 tobiflex
-- Copyright (c) 2009-2019 Tobias Gubener                                   -- 
5
-- Patches by MikeJ, Till Harbaum, Rok Krajnk, ...                          --
6 2 tobiflex
-- Subdesign fAMpIGA by TobiFlex                                            --
7
--                                                                          --
8
-- This source file is free software: you can redistribute it and/or modify --
9
-- it under the terms of the GNU Lesser General Public License as published --
10
-- by the Free Software Foundation, either version 3 of the License, or     --
11
-- (at your option) any later version.                                      --
12
--                                                                          --
13
-- This source file is distributed in the hope that it will be useful,      --
14
-- but WITHOUT ANY WARRANTY; without even the implied warranty of           --
15
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            --
16
-- GNU General Public License for more details.                             --
17
--                                                                          --
18
-- You should have received a copy of the GNU General Public License        --
19
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.    --
20
--                                                                          --
21
------------------------------------------------------------------------------
22
------------------------------------------------------------------------------
23
 
24
library ieee;
25
use ieee.std_logic_1164.all;
26
use ieee.std_logic_unsigned.all;
27
use IEEE.numeric_std.all;
28
use work.TG68K_Pack.all;
29
 
30
entity TG68K_ALU is
31
generic(
32 4 tobiflex
                MUL_Mode : integer;                     --0=>16Bit,     1=>32Bit,       2=>switchable with CPU(1),      3=>no MUL,  
33
                MUL_Hardware : integer;         --0=>no,                1=>yes,  
34
                DIV_Mode : integer;                     --0=>16Bit,     1=>32Bit,       2=>switchable with CPU(1),      3=>no DIV,  
35
                BarrelShifter :integer          --0=>no,                1=>yes,         2=>switchable with CPU(1)  
36 2 tobiflex
                );
37 6 tobiflex
        port(clk                                                : in std_logic;
38
                Reset                                           : in std_logic;
39
                clkena_lw                               : in std_logic:='1';
40
                execOPC                                 : in bit;
41
                decodeOPC                               : in bit;
42
                exe_condition                   : in std_logic;
43
                exec_tas                                        : in std_logic;
44
                long_start                              : in bit;
45
                non_aligned                             : in std_logic;
46
                movem_presub                    : in bit;
47
                set_stop                                        : in bit;
48
                Z_error                                         : in bit;
49
                rot_bits                                        : in std_logic_vector(1 downto 0);
50
                exec                                            : in bit_vector(lastOpcBit downto 0);
51
                OP1out                                  : in std_logic_vector(31 downto 0);
52
                OP2out                                  : in std_logic_vector(31 downto 0);
53
                reg_QA                                  : in std_logic_vector(31 downto 0);
54
                reg_QB                                  : in std_logic_vector(31 downto 0);
55
                opcode                                  : in std_logic_vector(15 downto 0);
56
                exe_opcode                              : in std_logic_vector(15 downto 0);
57
                exe_datatype                    : in std_logic_vector(1 downto 0);
58
                sndOPC                                  : in std_logic_vector(15 downto 0);
59
                last_data_read                  : in std_logic_vector(15 downto 0);
60
                data_read                               : in std_logic_vector(15 downto 0);
61
                FlagsSR                                 : in std_logic_vector(7 downto 0);
62
                micro_state                             : in micro_states;
63
                bf_ext_in                               : in std_logic_vector(7 downto 0);
64
                bf_ext_out                              : out std_logic_vector(7 downto 0);
65
                bf_shift                                        : in std_logic_vector(5 downto 0);
66
                bf_width                                        : in std_logic_vector(5 downto 0);
67
                bf_ffo_offset                   : in std_logic_vector(31 downto 0);
68
                bf_loffset                              : in std_logic_vector(4 downto 0);
69 5 tobiflex
 
70 6 tobiflex
                set_V_Flag                              : buffer bit;
71
                Flags                                           : buffer std_logic_vector(7 downto 0);
72
                c_out                                           : buffer std_logic_vector(2 downto 0);
73
                addsub_q                                        : buffer std_logic_vector(31 downto 0);
74
                ALUout                                  : out std_logic_vector(31 downto 0)
75 5 tobiflex
        );
76 2 tobiflex
end TG68K_ALU;
77
 
78
architecture logic of TG68K_ALU is
79
-----------------------------------------------------------------------------
80
-----------------------------------------------------------------------------
81
-- ALU and more
82
-----------------------------------------------------------------------------
83
-----------------------------------------------------------------------------
84 6 tobiflex
        signal OP1in                            : std_logic_vector(31 downto 0);
85
        signal addsub_a                 : std_logic_vector(31 downto 0);
86
        signal addsub_b                 : std_logic_vector(31 downto 0);
87
        signal notaddsub_b              : std_logic_vector(33 downto 0);
88
        signal add_result                       : std_logic_vector(33 downto 0);
89
        signal addsub_ofl                       : std_logic_vector(2 downto 0);
90
        signal opaddsub                 : bit;
91
        signal c_in                                     : std_logic_vector(3 downto 0);
92
        signal flag_z                           : std_logic_vector(2 downto 0);
93
        signal set_Flags                        : std_logic_vector(3 downto 0);  --NZVC
94
        signal CCRin                            : std_logic_vector(7 downto 0);
95 2 tobiflex
 
96
--BCD
97 6 tobiflex
        signal bcd_pur                          : std_logic_vector(9 downto 0);
98
        signal bcd_kor                          : std_logic_vector(8 downto 0);
99
        signal halve_carry              : std_logic;
100
        signal Vflag_a                          : std_logic;
101
        signal bcd_a_carry              : std_logic;
102
        signal bcd_a                            : std_logic_vector(8 downto 0);
103
        signal result_mulu              : std_logic_vector(127 downto 0);
104
        signal result_div                       : std_logic_vector(63 downto 0);
105
        signal set_mV_Flag              : std_logic;
106
        signal V_Flag                           : bit;
107
 
108
        signal rot_rot                          : std_logic;
109
        signal rot_lsb                          : std_logic;
110
        signal rot_msb                          : std_logic;
111
        signal rot_X                            : std_logic;
112
        signal rot_C                            : std_logic;
113
        signal rot_out                          : std_logic_vector(31 downto 0);
114
        signal asl_VFlag                        : std_logic;
115
        signal bit_bits                 : std_logic_vector(1 downto 0);
116
        signal bit_number                       : std_logic_vector(4 downto 0);
117
        signal bits_out                 : std_logic_vector(31 downto 0);
118
        signal one_bit_in                       : std_logic;
119
        signal bchg                                     : std_logic;
120
        signal bset                                     : std_logic;
121
 
122
        signal mulu_sign                        : std_logic;
123
        signal mulu_signext             : std_logic_vector(16 downto 0);
124
        signal muls_msb                 : std_logic;
125
        signal mulu_reg                 : std_logic_vector(63 downto 0);
126
        signal FAsign                           : std_logic;
127
        signal faktorA                          : std_logic_vector(31 downto 0);
128
        signal faktorB                          : std_logic_vector(31 downto 0);
129
 
130
        signal div_reg                          : std_logic_vector(63 downto 0);
131
        signal div_quot                 : std_logic_vector(63 downto 0);
132
        signal div_ovl                          : std_logic;
133
        signal div_neg                          : std_logic;
134
        signal div_bit                          : std_logic;
135
        signal div_sub                          : std_logic_vector(32 downto 0);
136
        signal div_over                 : std_logic_vector(32 downto 0);
137
        signal nozero                           : std_logic;
138
        signal div_qsign                        : std_logic;
139
        signal divisor                          : std_logic_vector(63 downto 0);
140
        signal divs                                     : std_logic;
141
        signal signedOP                 : std_logic;
142
        signal OP1_sign                 : std_logic;
143
        signal OP2_sign                 : std_logic;
144
        signal OP2outext                        : std_logic_vector(15 downto 0);
145 2 tobiflex
 
146 6 tobiflex
        signal in_offset                        : std_logic_vector(5 downto 0);
147
        signal datareg                          : std_logic_vector(31 downto 0);
148
        signal insert                           : std_logic_vector(31 downto 0);
149
        signal bf_datareg                       : std_logic_vector(31 downto 0);
150
        signal result                           : std_logic_vector(39 downto 0);
151
        signal result_tmp                       : std_logic_vector(39 downto 0);
152
        signal unshifted_bitmask: std_logic_vector(31 downto 0);
153
        signal bf_set1                          : std_logic_vector(39 downto 0);
154
        signal inmux0                           : std_logic_vector(39 downto 0);
155
        signal inmux1                           : std_logic_vector(39 downto 0);
156
        signal inmux2                           : std_logic_vector(39 downto 0);
157
        signal inmux3                           : std_logic_vector(31 downto 0);
158
        signal shifted_bitmask  : std_logic_vector(39 downto 0);
159
        signal bitmaskmux0              : std_logic_vector(37 downto 0);
160
        signal bitmaskmux1              : std_logic_vector(35 downto 0);
161
        signal bitmaskmux2              : std_logic_vector(31 downto 0);
162
        signal bitmaskmux3              : std_logic_vector(31 downto 0);
163
        signal bf_set2                          : std_logic_vector(31 downto 0);
164
        signal shift                            : std_logic_vector(39 downto 0);
165
        signal bf_firstbit              : std_logic_vector(5 downto 0);
166
        signal mux                                      : std_logic_vector(3 downto 0);
167
        signal bitnr                            : std_logic_vector(4 downto 0);
168
        signal mask                                     : std_logic_vector(31 downto 0);
169
        signal mask_not_zero            : std_logic;
170
        signal bf_bset                          : std_logic;
171
        signal bf_NFlag                 : std_logic;
172
        signal bf_bchg                          : std_logic;
173
        signal bf_ins                           : std_logic;
174
        signal bf_exts                          : std_logic;
175
        signal bf_fffo                          : std_logic;
176
        signal bf_d32                           : std_logic;
177
        signal bf_s32                           : std_logic;
178
        signal index                            : std_logic_vector(4 downto 0);
179
--      signal i                                                : integer range 0 to 31;
180
--      signal i                                                : integer range 0 to 31;
181
--      signal i                                                : std_logic_vector(5 downto 0);
182 2 tobiflex
 
183 6 tobiflex
--      signal hot_bit                          : std_logic_vector(33 downto 0); simulation error =>
184
        signal hot_bit                          : std_logic_vector(63 downto 0);
185
        signal hot_msb                          : std_logic_vector(32 downto 0);
186
        signal vector                           : std_logic_vector(32 downto 0);
187
        signal result_bs                        : std_logic_vector(65 downto 0);
188
        signal bit_nr                           : std_logic_vector(5 downto 0);
189
        signal bit_nr7                          : std_logic_vector(6 downto 0);
190
        signal bit_msb                          : std_logic_vector(5 downto 0);
191
        signal bs_shift                 : std_logic_vector(5 downto 0);
192
        signal bs_shift_mod             : std_logic_vector(5 downto 0);
193
        signal asl_over                 : std_logic_vector(32 downto 0);
194
        signal asl_over_xor             : std_logic_vector(32 downto 0);
195
        signal asr_sign                 : std_logic_vector(32 downto 0);
196
        signal msb                                      : std_logic;
197
        signal ring                                     : std_logic_vector(5 downto 0);
198
        signal ALU                                      : std_logic_vector(31 downto 0);
199
        signal BSout                            : std_logic_vector(31 downto 0);
200
        signal bs_V                                     : std_logic;
201
        signal bs_C                                     : std_logic;
202
        signal bs_X                                     : std_logic;
203 2 tobiflex
 
204 6 tobiflex
 
205 2 tobiflex
BEGIN
206
-----------------------------------------------------------------------------
207
-- set OP1in
208
-----------------------------------------------------------------------------
209
PROCESS (OP2out, reg_QB, opcode, OP1out, OP1in, exe_datatype, addsub_q, execOPC, exec,
210 6 tobiflex
                        bcd_a, result_mulu, result_div, exe_condition, bf_shift, bf_ffo_offset, mulu_reg, BSout,
211
                        Flags, FlagsSR, bits_out, exec_tas, rot_out, exe_opcode, result, bf_fffo, bf_firstbit, bf_datareg)
212 2 tobiflex
        BEGIN
213
                ALUout <= OP1in;
214
                ALUout(7) <= OP1in(7) OR exec_tas;
215
                IF exec(opcBFwb)='1' THEN
216
                        ALUout <= result(31 downto 0);
217
                        IF bf_fffo='1' THEN
218
                                ALUout <= bf_ffo_offset - bf_firstbit;
219
                        END IF;
220
                END IF;
221
 
222
                OP1in <= addsub_q;
223
                IF exec(opcABCD)='1' OR exec(opcSBCD)='1' THEN
224
                        OP1in(7 downto 0) <= bcd_a(7 downto 0);
225
                ELSIF exec(opcMULU)='1' AND MUL_Mode/=3 THEN
226
                        IF MUL_Hardware=0 THEN
227
                                IF exec(write_lowlong)='1' AND (MUL_Mode=1 OR MUL_Mode=2) THEN
228
                                        OP1in <= result_mulu(31 downto 0);
229
                                ELSE
230
                                        OP1in <= result_mulu(63 downto 32);
231
                                END IF;
232
                        ELSE
233
                                IF exec(write_lowlong)='1' THEN --AND (MUL_Mode=1 OR MUL_Mode=2) THEN
234
                                        OP1in <= result_mulu(31 downto 0);
235
                                ELSE
236
--                                      OP1in <= result_mulu(63 downto 32);
237
                                        OP1in <= mulu_reg(31 downto 0);
238
                                END IF;
239
                        END IF;
240
                ELSIF exec(opcDIVU)='1' AND DIV_Mode/=3 THEN
241
                        IF exe_opcode(15)='1' OR DIV_Mode=0 THEN
242
--                      IF exe_opcode(15)='1' THEN
243
                                OP1in <= result_div(47 downto 32)&result_div(15 downto 0);
244
                        ELSE            --64bit
245
                                IF exec(write_reminder)='1' THEN
246
                                        OP1in <= result_div(63 downto 32);
247
                                ELSE
248
                                        OP1in <= result_div(31 downto 0);
249
                                END IF;
250
                        END IF;
251
                ELSIF exec(opcOR)='1' THEN
252
                        OP1in <= OP2out OR OP1out;
253
                ELSIF exec(opcAND)='1' THEN
254
                        OP1in <= OP2out AND OP1out;
255
                ELSIF exec(opcScc)='1' THEN
256
                        OP1in(7 downto 0) <= (others=>exe_condition);
257
                ELSIF exec(opcEOR)='1' THEN
258
                        OP1in <= OP2out XOR OP1out;
259
                ELSIF exec(opcMOVE)='1' OR exec(exg)='1' THEN
260
--                      OP1in <= OP2out(31 downto 8)&(OP2out(7)OR exec_tas)&OP2out(6 downto 0);
261
                        OP1in <= OP2out;
262
                ELSIF exec(opcROT)='1' THEN
263
                        OP1in <= rot_out;
264
                ELSIF exec(exec_BS)='1' THEN
265
                        OP1in <= BSout;
266
                ELSIF exec(opcSWAP)='1' THEN
267
                        OP1in <= OP1out(15 downto 0)& OP1out(31 downto 16);
268
                ELSIF exec(opcBITS)='1' THEN
269
                        OP1in <= bits_out;
270
                ELSIF exec(opcBF)='1' THEN
271
                        OP1in <= bf_datareg;            --new bitfieldvector for bfins - for others the old bitfieldvector
272
                ELSIF exec(opcMOVESR)='1' THEN
273
                        OP1in(7 downto 0) <= Flags;
274
--                      IF exe_datatype="00" THEN
275
                        IF exe_opcode(9)='1' THEN
276
                                OP1in(15 downto 8) <= "00000000";
277
                        ELSE
278
                                OP1in(15 downto 8) <= FlagsSR;
279
                        END IF;
280
                ELSIF exec(opcPACK)='1' THEN
281
                        OP1in(7 downto 0) <= addsub_q(11 downto 8) & addsub_q(3 downto 0);
282
                END IF;
283
        END PROCESS;
284
 
285
-----------------------------------------------------------------------------
286
-- addsub
287
-----------------------------------------------------------------------------
288
PROCESS (OP1out, OP2out, execOPC, Flags, long_start, movem_presub, exe_datatype, exec, addsub_a, addsub_b, opaddsub,
289
             notaddsub_b, add_result, c_in, sndOPC, non_aligned)
290
        BEGIN
291
                addsub_a <= OP1out;
292
                IF exec(get_bfoffset)='1' THEN
293
                        IF sndOPC(11)='1' THEN
294
                                addsub_a <= OP1out(31)&OP1out(31)&OP1out(31)&OP1out(31 downto 3);
295
                        ELSE
296
                                addsub_a <= "000000000000000000000000000000"&sndOPC(10 downto 9);
297
                        END IF;
298
                END IF;
299
 
300
                IF exec(subidx)='1' THEN
301
                        opaddsub <= '1';
302
                ELSE
303
                        opaddsub <= '0';
304
                END IF;
305
 
306
                c_in(0) <='0';
307
                addsub_b <= OP2out;
308
                IF exec(opcUNPACK)='1' THEN
309
                        addsub_b(15 downto 0) <= "0000" & OP2out(7 downto 4) & "0000" & OP2out(3 downto 0);
310
                ELSIF execOPC='0' AND exec(OP2out_one)='0' AND exec(get_bfoffset)='0'THEN
311
                        IF long_start='0' AND exe_datatype="00" AND exec(use_SP)='0' THEN
312
                                addsub_b <= "00000000000000000000000000000001";
313
                        ELSIF long_start='0' AND exe_datatype="10" AND (exec(presub) OR exec(postadd) OR movem_presub)='1' THEN
314
                                IF exec(movem_action)='1' THEN
315
                                        addsub_b <= "00000000000000000000000000000110";
316
                                ELSE
317
                                        addsub_b <= "00000000000000000000000000000100";
318
                                END IF;
319
                        ELSE
320
                                addsub_b <= "00000000000000000000000000000010";
321
                        END IF;
322
                ELSE
323
                        IF (exec(use_XZFlag)='1' AND Flags(4)='1') OR exec(opcCHK)='1' THEN
324
                                c_in(0) <= '1';
325
                        END IF;
326
                        opaddsub <= exec(addsub);
327
                END IF;
328
 
329
                -- patch for un-aligned movem --mikej
330
                if (exec(movem_action) = '1') then
331
                  if (movem_presub = '0') then -- up
332
                        if (non_aligned = '1') and (long_start = '0') then -- hold
333
                          addsub_b <= (others => '0');
334
                        end if;
335
                  else
336
                        if (non_aligned = '1') and (long_start = '0') then
337
                          if (exe_datatype = "10") then
338
                                addsub_b <= "00000000000000000000000000001000";
339
                          else
340
                                addsub_b <= "00000000000000000000000000000100";
341
                          end if;
342
                        end if;
343
                  end if;
344
                end if;
345
 
346
                IF opaddsub='0' OR long_start='1' THEN           --ADD
347
                        notaddsub_b <= '0'&addsub_b&c_in(0);
348
                ELSE                                    --SUB
349
                        notaddsub_b <= NOT ('0'&addsub_b&c_in(0));
350
                END IF;
351
                add_result <= (('0'&addsub_a&notaddsub_b(0))+notaddsub_b);
352
                c_in(1) <= add_result(9) XOR addsub_a(8) XOR addsub_b(8);
353
                c_in(2) <= add_result(17) XOR addsub_a(16) XOR addsub_b(16);
354
                c_in(3) <= add_result(33);
355
                addsub_q <= add_result(32 downto 1);
356
                addsub_ofl(0) <= (c_in(1) XOR add_result(8) XOR addsub_a(7) XOR addsub_b(7));            --V Byte
357
                addsub_ofl(1) <= (c_in(2) XOR add_result(16) XOR addsub_a(15) XOR addsub_b(15));        --V Word
358
                addsub_ofl(2) <= (c_in(3) XOR add_result(32) XOR addsub_a(31) XOR addsub_b(31));        --V Long
359
                c_out <= c_in(3 downto 1);
360
        END PROCESS;
361
 
362
------------------------------------------------------------------------------
363
--ALU
364
------------------------------------------------------------------------------          
365
PROCESS (OP1out, OP2out, exec, add_result, bcd_pur, bcd_a, bcd_kor, halve_carry, c_in)
366
        BEGIN
367
--BCD_ARITH-------------------------------------------------------------------
368
--04.04.2017 by Tobiflex - BCD handling with all undefined behavior!
369
                bcd_pur <= c_in(1)&add_result(8 downto 0);
370
                bcd_kor <= "000000000";
371
                halve_carry <= OP1out(4) XOR OP2out(4) XOR bcd_pur(5);
372
                IF halve_carry='1' THEN
373
                        bcd_kor(3 downto 0) <= "0110"; --  -6
374
                END IF;
375
                IF bcd_pur(9)='1' THEN
376
                        bcd_kor(7 downto 4) <= "0110"; --  -60
377
                END IF;
378
                IF exec(opcABCD)='1' THEN
379
                        Vflag_a <= NOT bcd_pur(8) AND bcd_a(7);
380
--                      bcd_pur <= ('0'&OP1out(7 downto 0)&'1') + ('0'&OP2out(7 downto 0)&Flags(4));
381
                        bcd_a <= bcd_pur(9 downto 1) + bcd_kor;
382
                        IF (bcd_pur(4) AND (bcd_pur(3) OR bcd_pur(2)))='1' THEN
383
                                bcd_kor(3 downto 0) <= "0110"; --  +6
384
                        END IF;
385
                        IF (bcd_pur(8) AND (bcd_pur(7) OR bcd_pur(6) OR (bcd_pur(5) AND bcd_pur(4) AND (bcd_pur(3) OR bcd_pur(2)))))='1' THEN
386
                                bcd_kor(7 downto 4) <= "0110"; --  +60 
387
                        END IF;
388
                ELSE --opcSBCD  
389
                        Vflag_a <= bcd_pur(8) AND NOT bcd_a(7);
390
--                      bcd_pur <= ('0'&OP1out(7 downto 0)&'0') - ('0'&OP2out(7 downto 0)&Flags(4));
391
                        bcd_a <= bcd_pur(9 downto 1) - bcd_kor;
392
                END IF;
393 6 tobiflex
        Vflag_a <= '0'; --nur zum testen
394 2 tobiflex
                bcd_a_carry <= bcd_pur(9) OR bcd_a(8);
395
        END PROCESS;
396
 
397
-----------------------------------------------------------------------------
398
-- Bits
399
-----------------------------------------------------------------------------
400
PROCESS (clk, exe_opcode, OP1out, OP2out, reg_QB, one_bit_in, bchg, bset, bit_Number, sndOPC)
401
        BEGIN
402
                IF rising_edge(clk) THEN
403
                IF  clkena_lw = '1' THEN
404
                                bchg <= '0';
405
                                bset <= '0';
406
                                CASE opcode(7 downto 6) IS
407
                                        WHEN "01" =>                                    --bchg
408
                                                bchg <= '1';
409
                                        WHEN "11" =>                                    --bset
410
                                                bset <= '1';
411
                                        WHEN OTHERS => NULL;
412
                                END CASE;
413
                        END IF;
414
                END IF;
415
 
416
                IF exe_opcode(8)='0' THEN
417
                        IF exe_opcode(5 downto 4)="00" THEN
418
                                bit_number <= sndOPC(4 downto 0);
419
                        ELSE
420
                                bit_number <= "00"&sndOPC(2 downto 0);
421
                        END IF;
422
                ELSE
423
                        IF exe_opcode(5 downto 4)="00" THEN
424
                                bit_number <= reg_QB(4 downto 0);
425
                        ELSE
426
                                bit_number <= "00"&reg_QB(2 downto 0);
427
                        END IF;
428
                END IF;
429
 
430
                one_bit_in <= OP1out(conv_integer(bit_Number));
431
                bits_out <= OP1out;
432
                bits_out(conv_integer(bit_Number)) <= (bchg AND NOT one_bit_in) OR bset ;
433
        END PROCESS;
434
 
435
-----------------------------------------------------------------------------
436
-- Bit Field
437
-----------------------------------------------------------------------------   
438
 
439
PROCESS (clk, mux, mask, bitnr, bf_ins, bf_bchg, bf_bset, bf_exts, bf_shift, inmux0, inmux1, inmux2, inmux3, bf_set2, OP1out, OP2out,
440
                        result_tmp, bf_ext_in, mask_not_zero, exec, shift, datareg, bf_NFlag, result, reg_QB, unshifted_bitmask, bf_d32, bf_s32,
441
                        shifted_bitmask, bf_loffset, bitmaskmux0, bitmaskmux1, bitmaskmux2, bitmaskmux3, bf_width)
442
        BEGIN
443
                IF rising_edge(clk) THEN
444 4 tobiflex
                        IF clkena_lw = '1' THEN
445 2 tobiflex
                                bf_bset <= '0';
446
                                bf_bchg <= '0';
447
                                bf_ins <= '0';
448
                                bf_exts <= '0';
449
                                bf_fffo <= '0';
450
                                bf_d32 <= '0';
451
                                bf_s32 <= '0';
452 5 tobiflex
--              000-bftst, 001-bfextu, 010-bfchg, 011-bfexts, 100-bfclr, 101-bfff0, 110-bfset, 111-bfins        
453
                                IF opcode(5 downto 4) ="00" THEN
454
                                          bf_s32 <= '1';
455
                                END IF;
456 2 tobiflex
                                CASE opcode(10 downto 8) IS
457 5 tobiflex
                                        WHEN "010" => bf_bchg <= '1';                                   --BFCHG
458
                                        WHEN "011" => bf_exts <= '1';                                   --BFEXTS
459
--                                      WHEN "100" => insert <= (OTHERS =>'0'); --BFCLR
460
                                        WHEN "101" => bf_fffo <= '1';                                   --BFFFO
461
                                        WHEN "110" => bf_bset <= '1';                                   --BFSET
462 2 tobiflex
                                        WHEN "111" => bf_ins <= '1';                                    --BFINS
463 5 tobiflex
                                                                          bf_s32 <= '1';
464 2 tobiflex
                                        WHEN OTHERS => NULL;
465
                                END CASE;
466
                                IF opcode(4 downto 3)="00" THEN
467
                                        bf_d32 <= '1';
468
                                END IF;
469
                                bf_ext_out <= result(39 downto 32);
470
                        END IF;
471
                END IF;
472
 
473
                IF bf_ins='1' THEN
474
                        datareg <= reg_QB;
475
                ELSE
476
                        datareg <= bf_set2;
477
                END IF;
478
 
479
 
480
-- create bitmask for operation
481
-- unshifted bitmask '0' => bit is in the Bitfieldvector
482
--                                       '1' => bit isn't in the Bitfieldvector
483
-- Example bf_with=11    => "11111111 11111111 11111000 00000000"
484
-- datareg 
485
                unshifted_bitmask <= (OTHERS => '0');
486
                FOR i in 0 to 31 LOOP
487
                        IF i>bf_width(4 downto 0) THEN
488
                                datareg(i) <= '0';
489
                                unshifted_bitmask(i) <= '1';
490
                        END IF;
491
                END LOOP;
492
 
493
                bf_NFlag <= datareg(conv_integer(bf_width));
494
                IF bf_exts='1' AND bf_NFlag='1' THEN
495
                        bf_datareg <= datareg OR unshifted_bitmask;
496
                ELSE
497
                        bf_datareg <= datareg;
498
                END IF;
499
--      bf_datareg <= shifted_bitmask(31 downto 4)&"0000";
500
--      result(31 downto 0)<=datareg;
501
 
502
-- shift bitmask for operation
503
                IF bf_loffset(4)='1' THEN
504
                        bitmaskmux3 <= unshifted_bitmask(15 downto 0)&unshifted_bitmask(31 downto 16);
505
                ELSE
506
                        bitmaskmux3 <= unshifted_bitmask;
507
                END IF;
508
                IF bf_loffset(3)='1' THEN
509
                        bitmaskmux2(31 downto 0) <= bitmaskmux3(23 downto 0)&bitmaskmux3(31 downto 24);
510
                ELSE
511
                        bitmaskmux2(31 downto 0) <= bitmaskmux3;
512
                END IF;
513
                IF bf_loffset(2)='1' THEN
514
                        bitmaskmux1 <= bitmaskmux2&"1111";
515
                        IF bf_d32='1' THEN
516
                                bitmaskmux1(3 downto 0) <= bitmaskmux2(31 downto 28);
517
                        END IF;
518
                ELSE
519
                        bitmaskmux1 <= "1111"&bitmaskmux2;
520
                END IF;
521
                IF bf_loffset(1)='1' THEN
522
                        bitmaskmux0 <= bitmaskmux1&"11";
523
                        IF bf_d32='1' THEN
524
                                bitmaskmux0(1 downto 0) <= bitmaskmux1(31 downto 30);
525
                        END IF;
526
                ELSE
527
                        bitmaskmux0 <= "11"&bitmaskmux1;
528
                END IF;
529
                IF bf_loffset(0)='1' THEN
530
                        shifted_bitmask <= '1'&bitmaskmux0&'1';
531
                        IF bf_d32='1' THEN
532
                                shifted_bitmask(0) <= bitmaskmux0(31);
533
                        END IF;
534
                ELSE
535
                        shifted_bitmask <= "11"&bitmaskmux0;
536
                END IF;
537
 
538
 
539
-- shift for ins 
540
                shift <= bf_ext_in&OP2out;
541
                IF bf_s32='1' THEN
542
                        shift(39 downto 32) <= OP2out(7 downto 0);
543
                END IF;
544
 
545
                IF bf_shift(0)='1' THEN
546
                        inmux0 <= shift(0)&shift(39 downto 1);
547
                ELSE
548
                        inmux0 <= shift;
549
                END IF;
550
                IF bf_shift(1)='1' THEN
551
                        inmux1 <= inmux0(1 downto 0)&inmux0(39 downto 2);
552
                ELSE
553
                        inmux1 <= inmux0;
554
                END IF;
555
                IF bf_shift(2)='1' THEN
556
                        inmux2 <= inmux1(3 downto 0)&inmux1(39 downto 4);
557
                ELSE
558
                        inmux2 <= inmux1;
559
                END IF;
560
                IF bf_shift(3)='1' THEN
561
                        inmux3 <= inmux2(7 downto 0)&inmux2(31 downto 8);
562
                ELSE
563
                        inmux3 <= inmux2(31 downto 0);
564
                END IF;
565
                IF bf_shift(4)='1' THEN
566
                        bf_set2(31 downto 0) <= inmux3(15 downto 0)&inmux3(31 downto 16);
567
                ELSE
568
                        bf_set2(31 downto 0) <= inmux3;
569
                END IF;
570
 
571
                IF bf_ins='1' THEN
572
                        result(31 downto 0) <= bf_set2;
573
                        result(39 downto 32) <= bf_set2(7 downto 0);
574
                ELSIF bf_bchg='1' THEN
575
                        result(31 downto 0) <= NOT OP2out;
576
                        result(39 downto 32) <= NOT bf_ext_in;
577
                ELSE
578
                        result <= (OTHERS => '0');
579
                END IF;
580
                IF bf_bset='1' THEN
581
                        result <= (OTHERS => '1');
582
                END IF;
583
--              
584
                IF bf_ins='1' THEN
585
                        result_tmp <= bf_ext_in&OP1out;
586
                ELSE
587
                        result_tmp <= bf_ext_in&OP2out;
588
                END IF;
589
                FOR i in 0 to 39 LOOP
590
                        IF shifted_bitmask(i)='1' THEN
591
                                result(i) <= result_tmp(i);   --restore old data
592
                        END IF;
593
                END LOOP;
594
 
595
--BFFFO 
596
                mask <= datareg;
597
                bf_firstbit <= ('0'&bitnr)+mask_not_zero;
598
                bitnr <= "11111";
599
                mask_not_zero <= '1';
600
                IF mask(31 downto 28)="0000" THEN
601
                        IF mask(27 downto 24)="0000" THEN
602
                                IF mask(23 downto 20)="0000" THEN
603
                                        IF mask(19 downto 16)="0000" THEN
604
                                                bitnr(4) <= '0';
605
                                                IF mask(15 downto 12)="0000" THEN
606
                                                        IF mask(11 downto 8)="0000" THEN
607
                                                                bitnr(3) <= '0';
608
                                                                IF mask(7 downto 4)="0000" THEN
609
                                                                        bitnr(2) <= '0';
610
                                                                        mux <= mask(3 downto 0);
611
                                                                ELSE
612
                                                                        mux <= mask(7 downto 4);
613
                                                                END IF;
614
                                                        ELSE
615
                                                                mux <= mask(11 downto 8);
616
                                                                bitnr(2) <= '0';
617
                                                        END IF;
618
                                                ELSE
619
                                                        mux <= mask(15 downto 12);
620
                                                END IF;
621
                                        ELSE
622
                                                mux <= mask(19 downto 16);
623
                                                bitnr(3) <= '0';
624
                                                bitnr(2) <= '0';
625
                                        END IF;
626
                                ELSE
627
                                        mux <= mask(23 downto 20);
628
                                        bitnr(3) <= '0';
629
                                END IF;
630
                        ELSE
631
                                mux <= mask(27 downto 24);
632
                                bitnr(2) <= '0';
633
                        END IF;
634
                ELSE
635
                        mux <= mask(31 downto 28);
636
                END IF;
637
 
638
                IF mux(3 downto 2)="00" THEN
639
                        bitnr(1) <= '0';
640
                        IF mux(1)='0' THEN
641
                                bitnr(0) <= '0';
642
                                IF mux(0)='0' THEN
643
                                        mask_not_zero <= '0';
644
                                END IF;
645
                        END IF;
646
                ELSE
647
                        IF mux(3)='0' THEN
648
                                bitnr(0) <= '0';
649
                        END IF;
650
                END  IF;
651
        END PROCESS;
652
 
653
-----------------------------------------------------------------------------
654
-- Rotation
655
-----------------------------------------------------------------------------
656
PROCESS (exe_opcode, OP1out, Flags, rot_bits, rot_msb, rot_lsb, rot_rot, exec, BSout)
657
        BEGIN
658
                CASE exe_opcode(7 downto 6) IS
659
                        WHEN "00" =>                                    --Byte
660
                                                rot_rot <= OP1out(7);
661
                        WHEN "01"|"11" =>                               --Word
662
                                                rot_rot <= OP1out(15);
663
                        WHEN "10" =>                                    --Long
664
                                                rot_rot <= OP1out(31);
665
                        WHEN OTHERS => NULL;
666
                END CASE;
667
 
668
                CASE rot_bits IS
669
                        WHEN "00" =>                                    --ASL, ASR
670
                                                rot_lsb <= '0';
671
                                                rot_msb <= rot_rot;
672
                        WHEN "01" =>                                    --LSL, LSR
673
                                                rot_lsb <= '0';
674
                                                rot_msb <= '0';
675
                        WHEN "10" =>                                    --ROXL, ROXR
676
                                                rot_lsb <= Flags(4);
677
                                                rot_msb <= Flags(4);
678
                        WHEN "11" =>                                    --ROL, ROR
679
                                                rot_lsb <= rot_rot;
680
                                                rot_msb <= OP1out(0);
681
                        WHEN OTHERS => NULL;
682
                END CASE;
683
 
684
                IF exec(rot_nop)='1' THEN
685
                        rot_out <= OP1out;
686
                        rot_X <= Flags(4);
687
                        IF rot_bits="10" THEN   --ROXL, ROXR
688
                                rot_C <= Flags(4);
689
                        ELSE
690
                                rot_C <= '0';
691
                        END IF;
692
                ELSE
693
                        IF exe_opcode(8)='1' THEN               --left
694
                                rot_out <= OP1out(30 downto 0)&rot_lsb;
695
                                rot_X <= rot_rot;
696
                                rot_C <= rot_rot;
697
                        ELSE                                            --right
698
                                rot_X <= OP1out(0);
699
                                rot_C <= OP1out(0);
700
                                rot_out <= rot_msb&OP1out(31 downto 1);
701
                                CASE exe_opcode(7 downto 6) IS
702
                                        WHEN "00" =>                                    --Byte
703
                                                rot_out(7) <= rot_msb;
704
                                        WHEN "01"|"11" =>                               --Word
705
                                                rot_out(15) <= rot_msb;
706
                                        WHEN OTHERS => NULL;
707
                                END CASE;
708
                        END IF;
709
                        IF BarrelShifter/=0 THEN
710
                           rot_out <= BSout;
711
                        END IF;
712
                END IF;
713
        END PROCESS;
714
 
715
-----------------------------------------------------------------------------
716
-- Barrel Shifter
717
-----------------------------------------------------------------------------   
718
process (OP1out, OP2out, opcode, bit_nr, bit_nr7, bit_msb, hot_bit, bs_shift, bs_shift_mod, ring, result_bs, exe_opcode, vector,
719 6 tobiflex
         rot_bits, Flags, msb, hot_msb, asl_over, asl_over_xor, ALU, asr_sign, exec)
720 2 tobiflex
        begin
721
                ring <= "100000";
722
                IF rot_bits="10" THEN --ROX L/R
723
                        CASE exe_opcode(7 downto 6) IS
724
                                WHEN "00" =>                                    --Byte
725
                                                        ring <= "001001";
726
                                WHEN "01"|"11" =>                               --Word
727
                                                        ring <= "010001";
728
                                WHEN "10" =>                                    --Long
729
                                                        ring <= "100001";
730
                                WHEN OTHERS => NULL;
731
                        END CASE;
732
                ELSE
733
                        CASE exe_opcode(7 downto 6) IS
734
                                WHEN "00" =>                                    --Byte
735
                                                        ring <= "001000";
736
                                WHEN "01"|"11" =>                               --Word
737
                                                        ring <= "010000";
738
                                WHEN "10" =>                                    --Long
739
                                                        ring <= "100000";
740
                                WHEN OTHERS => NULL;
741
                        END CASE;
742
                END IF;
743
 
744
                IF exe_opcode(7 downto 6)="11" OR exec(exec_BS)='0' THEN
745
                        bs_shift <="000001";
746
                ELSIF exe_opcode(5)='1' THEN
747
                        bs_shift <= OP2out(5 downto 0);
748
                ELSE
749
                        bs_shift(2 downto 0) <= exe_opcode(11 downto 9);
750
                        IF exe_opcode(11 downto 9)="000" THEN
751
                                bs_shift(5 downto 3) <="001";
752
                        ELSE
753
                                bs_shift(5 downto 3) <="000";
754
                        END IF;
755
                END IF;
756
 
757
                bs_shift_mod <= std_logic_vector(unsigned(bs_shift) rem unsigned(ring));
758
 
759
                bit_nr <= bs_shift_mod(5 downto 0);
760
                bit_nr7 <= ('1'&ring)-('0'&bs_shift_mod);
761
                IF exe_opcode(8)='0' THEN  --right shift
762
                        bit_nr <= bit_nr7(5 downto 0);
763
                END IF;
764
                IF rot_bits(1)='0' THEN --only shift
765
                        IF unsigned(bs_shift)<33 THEN
766
                                IF exe_opcode(8)='0' THEN  --right shift
767
                                        bit_nr <= 32-bs_shift;
768
                                ELSE
769
                                        bit_nr <= bs_shift;
770
                                END IF;
771
                        ELSE
772
                                bit_nr <= "100001";
773
                                bit_msb <= "000000";
774
                        END IF;
775
                END IF;
776
 
777
 
778
-- calc V-Flag by ASL           
779
                hot_msb <= (OTHERS =>'0');
780
                hot_msb(conv_integer(bit_msb)) <= '1';
781 6 tobiflex
                IF bs_shift > ring THEN
782 2 tobiflex
                   bit_msb <= "000000";
783 6 tobiflex
                ELSE
784 2 tobiflex
                        bit_msb <= ring-bs_shift;
785 6 tobiflex
                END IF;
786
                asl_over_xor <= (('0'&vector(30 downto 0)) XOR ('0'&vector(31 downto 1)))&msb;
787
                CASE exe_opcode(7 downto 6) IS
788
                        WHEN "00" =>                                    --Byte
789
                                asl_over_xor(8) <= '0';
790
                        WHEN "01"|"11" =>                               --Word
791
                                asl_over_xor(16) <= '0';
792
                        WHEN OTHERS => NULL;
793
                END CASE;
794
                asl_over <= asl_over_xor - ('0'&hot_msb(31 downto 0));
795 2 tobiflex
                bs_V <= '0';
796
                IF rot_bits="00" AND exe_opcode(8)='1' THEN --ASL
797
                        bs_V <= not asl_over(32);
798
                END IF;
799
 
800
                IF exe_opcode(8)='0' THEN --right shift
801
                        bs_C <= result_bs(31);
802
                        bs_X <= result_bs(31);
803
                ELSE                  --left shift
804
                        CASE exe_opcode(7 downto 6) IS
805
                                WHEN "00" =>                                    --Byte
806
                                        bs_C <= result_bs(8);
807
                                        bs_X <= result_bs(8);
808
                                WHEN "01"|"11" =>                               --Word
809
                                        bs_C <= result_bs(16);
810
                                        bs_X <= result_bs(16);
811
                                WHEN "10" =>                                    --Long
812
                                        bs_C <= result_bs(32);
813
                                        bs_X <= result_bs(32);
814
                                WHEN OTHERS => NULL;
815
                        END CASE;
816
                END IF;
817
 
818
                ALU <= (others=>'-');
819
                IF rot_bits="11" THEN --RO L/R
820
                        bs_X <= Flags(4);
821
                        CASE exe_opcode(7 downto 6) IS
822
                                WHEN "00" =>                                    --Byte
823
                                        ALU(7 downto 0) <= result_bs(7 downto 0) OR result_bs(15 downto 8);
824 6 tobiflex
                                        bs_C <= ALU(7);
825 2 tobiflex
                                WHEN "01"|"11" =>                               --Word
826
                                        ALU(15 downto 0) <= result_bs(15 downto 0) OR result_bs(31 downto 16);
827 6 tobiflex
                                        bs_C <= ALU(15);
828 2 tobiflex
                                WHEN "10" =>                                    --Long
829
                                        ALU <= result_bs(31 downto 0) OR result_bs(63 downto 32);
830 6 tobiflex
                                        bs_C <= ALU(31);
831 2 tobiflex
                                WHEN OTHERS => NULL;
832
                        END CASE;
833 6 tobiflex
                        IF exe_opcode(8)='1' THEN --left shift
834 2 tobiflex
                                bs_C <= ALU(0);
835
                        END IF;
836
                ELSIF rot_bits="10" THEN --ROX L/R
837
                        CASE exe_opcode(7 downto 6) IS
838
                                WHEN "00" =>                                    --Byte
839
                                        ALU(7 downto 0) <= result_bs(7 downto 0) OR result_bs(16 downto 9);
840
                                        bs_C <= result_bs(8) OR result_bs(17);
841
                                        bs_X <= result_bs(8) OR result_bs(17);
842
                                WHEN "01"|"11" =>                               --Word
843
                                        ALU(15 downto 0) <= result_bs(15 downto 0) OR result_bs(32 downto 17);
844
                                        bs_C <= result_bs(16) OR result_bs(33);
845
                                        bs_X <= result_bs(16) OR result_bs(33);
846
                                WHEN "10" =>                                    --Long
847
                                        ALU <= result_bs(31 downto 0) OR result_bs(64 downto 33);
848
                                        bs_C <= result_bs(32) OR result_bs(65);
849
                                        bs_X <= result_bs(32) OR result_bs(65);
850
                                WHEN OTHERS => NULL;
851
                        END CASE;
852
                ELSE
853
                        IF exe_opcode(8)='0' THEN --right shift
854
                                ALU <= result_bs(63 downto 32);
855
                        ELSE                  --left shift
856
                                ALU <= result_bs(31 downto 0);
857
                        END IF;
858
                END IF;
859
 
860
                IF(bs_shift = "000000") THEN
861
                        IF rot_bits="10" THEN --ROX L/R
862 6 tobiflex
                                bs_C <= Flags(4);
863 2 tobiflex
                        ELSE
864 6 tobiflex
                                bs_C <= '0';
865 2 tobiflex
                        END IF;
866
                        bs_X <= Flags(4);
867
                        bs_V <= '0';
868
                END IF;
869
 
870
-- calc ASR sign                
871
                BSout <= ALU;
872
                asr_sign <= (OTHERS =>'0');
873
                asr_sign(32 downto 1) <= asr_sign(31 downto 0) OR hot_msb(31 downto 0);
874
                IF rot_bits="00" AND exe_opcode(8)='0' AND msb='1' THEN --ASR
875
                        BSout <= ALU or asr_sign(32 downto 1);
876
                        IF bs_shift > ring THEN
877
                                bs_C <= '1';
878
                                bs_X <= '1';
879
                        END IF;
880
                END IF;
881
 
882
                vector(32 downto 0) <= '0'&OP1out;
883
                CASE exe_opcode(7 downto 6) IS
884
                        WHEN "00" =>                                    --Byte
885
                                msb <= OP1out(7);
886
                                vector(31 downto 8) <= X"000000";
887
                                BSout(31 downto 8) <= X"000000";
888
                                IF rot_bits="10" THEN --ROX L/R
889
                                        vector(8) <= Flags(4);
890
                                END IF;
891
                        WHEN "01"|"11" =>                               --Word
892
                                msb <= OP1out(15);
893
                                vector(31 downto 16) <= X"0000";
894
                                BSout(31 downto 16) <= X"0000";
895
                                IF rot_bits="10" THEN --ROX L/R
896
                                        vector(16) <= Flags(4);
897
                                END IF;
898
                        WHEN "10" =>                                    --Long
899
                                msb <= OP1out(31);
900
                                IF rot_bits="10" THEN --ROX L/R
901
                                        vector(32) <= Flags(4);
902
                                END IF;
903
                        WHEN OTHERS => NULL;
904
                END CASE;
905
 
906
                hot_bit <= (OTHERS =>'0');
907
                hot_bit(conv_integer(bit_nr(5 downto 0))) <= '1';
908
                result_bs <= vector * hot_bit(32 downto 0);
909
-- if you don't like to use the multiplier -> uncommend next line       and commend the lines before    
910
--              result_bs <= std_logic_vector(unsigned('0'&X"00000000"&vector) sll to_integer(unsigned(bit_nr(5 downto 0)))); 
911
 
912
  end process;
913
 
914
 
915
------------------------------------------------------------------------------
916
--CCR op
917
------------------------------------------------------------------------------          
918
PROCESS (clk, Reset, exe_opcode, exe_datatype, Flags, last_data_read, OP2out, flag_z, OP1IN, c_out, addsub_ofl,
919
             bcd_a, bcd_a_carry, Vflag_a, exec)
920
        BEGIN
921
                IF exec(andiSR)='1' THEN
922
                        CCRin <= Flags AND last_data_read(7 downto 0);
923
                ELSIF exec(eoriSR)='1' THEN
924
                        CCRin <= Flags XOR last_data_read(7 downto 0);
925
                ELSIF exec(oriSR)='1' THEN
926
                        CCRin <= Flags OR last_data_read(7 downto 0);
927
                ELSE
928
                        CCRin <= OP2out(7 downto 0);
929
                END IF;
930
 
931
------------------------------------------------------------------------------
932
--Flags
933
------------------------------------------------------------------------------          
934
                flag_z <= "000";
935
                IF exec(use_XZFlag)='1' AND flags(2)='0' THEN
936
                        flag_z <= "000";
937
                ELSIF OP1in(7 downto 0)="00000000" THEN
938
                        flag_z(0) <= '1';
939
                        IF OP1in(15 downto 8)="00000000" THEN
940
                                flag_z(1) <= '1';
941
                                IF OP1in(31 downto 16)="0000000000000000" THEN
942
                                        flag_z(2) <= '1';
943
                                END IF;
944
                        END IF;
945
                END IF;
946
 
947
--                                      --Flags NZVC
948
                IF exe_datatype="00" THEN                                               --Byte
949
                        set_flags <= OP1IN(7)&flag_z(0)&addsub_ofl(0)&c_out(0);
950
                        IF exec(opcABCD)='1' OR exec(opcSBCD)='1' THEN
951
                                set_flags(0) <= bcd_a_carry;
952
                                set_flags(1) <= Vflag_a;
953
                        END IF;
954
                ELSIF exe_datatype="10" OR exec(opcCPMAW)='1' THEN                                              --Long
955
                        set_flags <= OP1IN(31)&flag_z(2)&addsub_ofl(2)&c_out(2);
956
                ELSE                                            --Word
957
                        set_flags <= OP1IN(15)&flag_z(1)&addsub_ofl(1)&c_out(1);
958
                END IF;
959
 
960
                IF rising_edge(clk) THEN
961 6 tobiflex
                        IF Reset='1' THEN
962 4 tobiflex
                                Flags(7 downto 0) <= "00000000";
963 6 tobiflex
                        ELSIF clkena_lw = '1' THEN
964 2 tobiflex
                                IF exec(directSR)='1' OR set_stop='1' THEN
965
                                        Flags(7 downto 0) <= data_read(7 downto 0);
966
                                END IF;
967
                                IF exec(directCCR)='1' THEN
968
                                        Flags(7 downto 0) <= data_read(7 downto 0);
969
                                END IF;
970
 
971
                                IF exec(opcROT)='1' AND decodeOPC='0' THEN
972
                                        asl_VFlag <= ((set_flags(3) XOR rot_rot) OR asl_VFlag);
973
                                ELSE
974
                                        asl_VFlag <= '0';
975
                                END IF;
976
                                IF exec(to_CCR)='1' THEN
977
                                        Flags(7 downto 0) <= CCRin(7 downto 0);                   --CCR
978
                                ELSIF Z_error='1' THEN
979
                                        IF exe_opcode(8)='0' THEN
980
--                                              Flags(3 downto 0) <= reg_QA(31)&"000";
981
                                                Flags(3 downto 0) <= '0'&NOT reg_QA(31)&"00";
982
                                        ELSE
983
                                                Flags(3 downto 0) <= "0100";
984
                                        END IF;
985
                                ELSIF exec(no_Flags)='0' THEN
986
                                        IF exec(opcADD)='1' THEN
987
                                                Flags(4) <= set_flags(0);
988
                                        ELSIF exec(opcROT)='1' AND rot_bits/="11" AND exec(rot_nop)='0' THEN
989
                                                Flags(4) <= rot_X;
990
                                        ELSIF exec(exec_BS)='1' THEN
991
                                                Flags(4) <= BS_X;
992
                                        END IF;
993
 
994
                                        IF (exec(opcADD) OR exec(opcCMP))='1' THEN
995
                                                Flags(3 downto 0) <= set_flags;
996
                                        ELSIF exec(opcDIVU)='1' AND DIV_Mode/=3 THEN
997
                                                IF V_Flag='1' THEN
998
                                                        Flags(3 downto 0) <= "1010";
999
                                                ELSE
1000
                                                        Flags(3 downto 0) <= OP1IN(15)&flag_z(1)&"00";
1001
                                                END IF;
1002
                                        ELSIF exec(write_reminder)='1' AND MUL_Mode/=3 THEN -- z-flag MULU.l
1003
                                                Flags(3) <= set_flags(3);
1004
                                                Flags(2) <= set_flags(2) AND Flags(2);
1005
                                                Flags(1) <= '0';
1006
                                                Flags(0) <= '0';
1007
                                        ELSIF exec(write_lowlong)='1' AND (MUL_Mode=1 OR MUL_Mode=2) THEN  -- flag MULU.l
1008
                                                Flags(3) <= set_flags(3);
1009
                                                Flags(2) <= set_flags(2);
1010
                                                Flags(1) <= set_mV_Flag;        --V
1011
                                                Flags(0) <= '0';
1012
                                        ELSIF exec(opcOR)='1' OR exec(opcAND)='1' OR exec(opcEOR)='1' OR exec(opcMOVE)='1' OR exec(opcMOVEQ)='1' OR exec(opcSWAP)='1' OR exec(opcBF)='1' OR (exec(opcMULU)='1' AND MUL_Mode/=3) THEN
1013
                                                Flags(1 downto 0) <= "00";
1014
                                                Flags(3 downto 2) <= set_flags(3 downto 2);
1015
                                                IF exec(opcBF)='1' THEN
1016
                                                        Flags(3) <= bf_NFlag;
1017
                                                END IF;
1018
                                        ELSIF exec(opcROT)='1' THEN
1019
                                                Flags(3 downto 2) <= set_flags(3 downto 2);
1020
                                                Flags(0) <= rot_C;
1021
                                                IF rot_bits="00" AND ((set_flags(3) XOR rot_rot) OR asl_VFlag)='1' THEN         --ASL/ASR
1022
                                                        Flags(1) <= '1';
1023
                                                ELSE
1024
                                                        Flags(1) <= '0';
1025
                                                END IF;
1026
                                        ELSIF exec(exec_BS)='1' THEN
1027
                                                Flags(3 downto 2) <= set_flags(3 downto 2);
1028
                                                Flags(0) <= BS_C;
1029
                                                Flags(1) <= BS_V;
1030
                                        ELSIF exec(opcBITS)='1' THEN
1031
                                                Flags(2) <= NOT one_bit_in;
1032
                                        ELSIF exec(opcCHK)='1' THEN
1033
                                                IF exe_datatype="01" THEN                                               --Word
1034
                                                        Flags(3) <= OP1out(15);
1035
                                                ELSE
1036
                                                        Flags(3) <= OP1out(31);
1037
                                                END IF;
1038
                                                IF OP1out(15 downto 0)=X"0000" AND (exe_datatype="01" OR OP1out(31 downto 16)=X"0000") THEN
1039
                                                        Flags(2) <='1';
1040
                                                ELSE
1041
                                                        Flags(2) <='0';
1042
                                                END IF;
1043
                                                Flags(1 downto 0) <= "00";
1044
                                        END IF;
1045
                                END IF;
1046
                        END IF;
1047
                        Flags(7 downto 5) <= "000";
1048
                END IF;
1049
        END PROCESS;
1050
 
1051
---------------------------------------------------------------------------------
1052
------ MULU/MULS
1053
---------------------------------------------------------------------------------       
1054
PROCESS (exe_opcode, OP2out, muls_msb, mulu_reg, FAsign, mulu_sign, reg_QA, faktorA, faktorB, result_mulu, signedOP)
1055
--PROCESS (exec, reg_QA, OP2out, faktorA, faktorB, signedOP)
1056
        BEGIN
1057
        IF MUL_Hardware=1 THEN
1058
--              IF exe_opcode(15)='1' OR MUL_Mode=0 THEN        -- 16 Bit
1059
                IF MUL_Mode=0 THEN       -- 16 Bit
1060
                        IF signedOP='1' AND reg_QA(15)='1' THEN
1061
                                faktorA <= X"FFFFFFFF";
1062
                        ELSE
1063
                                faktorA <= X"00000000";
1064
                        END IF;
1065
                        IF signedOP='1' AND OP2out(15)='1' THEN
1066
                                faktorB <= X"FFFFFFFF";
1067
                        ELSE
1068
                                faktorB <= X"00000000";
1069
                        END IF;
1070
                        result_mulu(63 downto 0) <= (faktorA(15 downto 0) & reg_QA(15 downto 0)) * (faktorB(15 downto 0) & OP2out(15 downto 0));
1071
                ELSE
1072
                        IF exe_opcode(15)='1' THEN      -- 16 Bit
1073
                                IF signedOP='1' AND reg_QA(15)='1' THEN
1074
                                        faktorA <= X"FFFFFFFF";
1075
                                ELSE
1076
                                        faktorA <= X"00000000";
1077
                                END IF;
1078
                                IF signedOP='1' AND OP2out(15)='1' THEN
1079
                                        faktorB <= X"FFFFFFFF";
1080
                                ELSE
1081
                                        faktorB <= X"00000000";
1082
                                END IF;
1083
                        ELSE
1084
                                faktorA(15 downto 0) <= reg_QA(31 downto 16);
1085
                                faktorB(15 downto 0) <= OP2out(31 downto 16);
1086
                                IF signedOP='1' AND reg_QA(31)='1' THEN
1087
                                        faktorA(31 downto 16) <= X"FFFF";
1088
                                ELSE
1089
                                        faktorA(31 downto 16) <= X"0000";
1090
                                END IF;
1091
                                IF signedOP='1' AND OP2out(31)='1' THEN
1092
                                        faktorB(31 downto 16) <= X"FFFF";
1093
                                ELSE
1094
                                        faktorB(31 downto 16) <= X"0000";
1095
                                END IF;
1096
                        END IF;
1097
                        result_mulu(127 downto 0) <= (faktorA(31 downto 16) & faktorA(31 downto 0) & reg_QA(15 downto 0)) * (faktorB(31 downto 16) & faktorB(31 downto 0) & OP2out(15 downto 0));
1098
                END IF;
1099
--      END PROCESS;
1100
-------------------------------------------------------------------------------
1101
---- MULU/MULS
1102
------------------------------------------------------------------------------- 
1103
--PROCESS (exe_opcode, OP2out, muls_msb, mulu_reg, FAsign, mulu_sign, reg_QA, faktorB, result_mulu, signedOP)
1104
--      BEGIN
1105
        ELSE
1106
                IF (signedOP='1' AND faktorB(31)='1') OR FAsign='1' THEN
1107
                        muls_msb <= mulu_reg(63);
1108
                ELSE
1109
                        muls_msb <= '0';
1110
                END IF;
1111
 
1112
                IF signedOP='1' AND faktorB(31)='1' THEN
1113
                        mulu_sign <= '1';
1114
                ELSE
1115
                        mulu_sign <= '0';
1116
                END IF;
1117
 
1118
                IF MUL_Mode=0 THEN       -- 16 Bit
1119
                        result_mulu(63 downto 32) <= muls_msb&mulu_reg(63 downto 33);
1120
                        result_mulu(15 downto 0) <= 'X'&mulu_reg(15 downto 1);
1121
                        IF mulu_reg(0)='1' THEN
1122
                                IF FAsign='1' THEN
1123
                                        result_mulu(63 downto 47) <= (muls_msb&mulu_reg(63 downto 48)-(mulu_sign&faktorB(31 downto 16)));
1124
                                ELSE
1125
                                        result_mulu(63 downto 47) <= (muls_msb&mulu_reg(63 downto 48)+(mulu_sign&faktorB(31 downto 16)));
1126
                                END IF;
1127
                        END IF;
1128
                ELSE                            -- 32 Bit
1129
                        result_mulu(63 downto 0) <= muls_msb&mulu_reg(63 downto 1);
1130
                        IF mulu_reg(0)='1' THEN
1131
                                IF FAsign='1' THEN
1132
                                        result_mulu(63 downto 31) <= (muls_msb&mulu_reg(63 downto 32)-(mulu_sign&faktorB));
1133
                                ELSE
1134
                                        result_mulu(63 downto 31) <= (muls_msb&mulu_reg(63 downto 32)+(mulu_sign&faktorB));
1135
                                END IF;
1136
                        END IF;
1137
                END IF;
1138
                IF exe_opcode(15)='1' OR MUL_Mode=0 THEN
1139
                        faktorB(31 downto 16) <= OP2out(15 downto 0);
1140
                        faktorB(15 downto 0) <= (OTHERS=>'0');
1141
                ELSE
1142
                        faktorB <= OP2out;
1143
                END IF;
1144
        END IF;
1145
                IF (result_mulu(63 downto 32)=X"00000000" AND (signedOP='0' OR result_mulu(31)='0')) OR
1146
                        (result_mulu(63 downto 32)=X"FFFFFFFF" AND signedOP='1' AND result_mulu(31)='1') THEN
1147
                        set_mV_Flag <= '0';
1148
                ELSE
1149
                        set_mV_Flag <= '1';
1150
                END IF;
1151
        END PROCESS;
1152
 
1153
PROCESS (clk)
1154
        BEGIN
1155
                IF rising_edge(clk) THEN
1156
                        IF clkena_lw='1' THEN
1157
                                IF MUL_Hardware=0 THEN
1158
                                        IF micro_state=mul1 THEN
1159
                                                mulu_reg(63 downto 32) <= (OTHERS=>'0');
1160
                                                IF divs='1' AND ((exe_opcode(15)='1' AND reg_QA(15)='1') OR (exe_opcode(15)='0' AND reg_QA(31)='1')) THEN                                --MULS Neg faktor
1161
                                                        FAsign <= '1';
1162
                                                        mulu_reg(31 downto 0) <= 0-reg_QA;
1163
                                                ELSE
1164
                                                        FAsign <= '0';
1165
                                                        mulu_reg(31 downto 0) <= reg_QA;
1166
                                                END IF;
1167
                                        ELSIF exec(opcMULU)='0' THEN
1168
                                                mulu_reg(63 downto 32) <= (OTHERS=>'-');
1169
                                                mulu_reg <= result_mulu(63 downto 0);
1170
                                        END IF;
1171
                                ELSE
1172
                                        mulu_reg(31 downto 0) <= result_mulu(63 downto 32);
1173
                                END IF;
1174
                        END IF;
1175
                END IF;
1176
        END PROCESS;
1177
 
1178
-------------------------------------------------------------------------------
1179
---- DIVU/DIVS
1180
-------------------------------------------------------------------------------
1181
 
1182
PROCESS (execOPC, OP1out, OP2out, div_reg, div_neg, div_bit, div_sub, div_quot, OP1_sign, div_over, result_div, reg_QA, opcode, sndOPC, divs, exe_opcode, reg_QB,
1183
             signedOP, nozero, div_qsign, OP2outext)
1184
        BEGIN
1185
                divs <= (opcode(15) AND opcode(8)) OR (NOT opcode(15) AND sndOPC(11));
1186
                divisor(15 downto 0) <= (OTHERS=> '0');
1187
                divisor(63 downto 32) <= (OTHERS=> divs AND reg_QA(31));
1188
                IF exe_opcode(15)='1' OR DIV_Mode=0 THEN
1189
                        divisor(47 downto 16) <= reg_QA;
1190
                ELSE
1191
                        divisor(31 downto 0) <= reg_QA;
1192
                        IF exe_opcode(14)='1' AND sndOPC(10)='1' THEN
1193
                                divisor(63 downto 32) <= reg_QB;
1194
                        END IF;
1195
                END IF;
1196
                IF signedOP='1' OR opcode(15)='0' THEN
1197
                        OP2outext <= OP2out(31 downto 16);
1198
                ELSE
1199
                        OP2outext <= (OTHERS=> '0');
1200
                END IF;
1201
                IF signedOP='1' AND OP2out(31) ='1' THEN
1202
                        div_sub <= (div_reg(63 downto 31))+('1'&OP2out(31 downto 0));
1203
                ELSE
1204
                        div_sub <= (div_reg(63 downto 31))-('0'&OP2outext(15 downto 0)&OP2out(15 downto 0));
1205
                END IF;
1206
                IF DIV_Mode=0 THEN
1207
                        div_bit <= div_sub(16);
1208
                ELSE
1209
                        div_bit <= div_sub(32);
1210
                END IF;
1211
                IF div_bit='1' THEN
1212
                        div_quot(63 downto 32) <= div_reg(62 downto 31);
1213
                ELSE
1214
                        div_quot(63 downto 32) <= div_sub(31 downto 0);
1215
                END IF;
1216
                div_quot(31 downto 0) <= div_reg(30 downto 0)&NOT div_bit;
1217
 
1218
 
1219
                IF ((nozero='1' AND signedOP='1' AND (OP2out(31) XOR OP1_sign XOR div_neg XOR div_qsign)='1' )  --Overflow DIVS
1220
                        OR (signedOP='0' AND div_over(32)='0')) AND DIV_Mode/=3 THEN      --Overflow DIVU
1221
                        set_V_Flag <= '1';
1222
                ELSE
1223
                        set_V_Flag <= '0';
1224
                END IF;
1225
        END PROCESS;
1226
 
1227
PROCESS (clk)
1228
        BEGIN
1229
                IF rising_edge(clk) THEN
1230
                        IF clkena_lw='1' THEN
1231
                                V_Flag <= set_V_Flag;
1232
                                signedOP <= divs;
1233
                                IF micro_state=div1 THEN
1234
                                        nozero <= '0';
1235
                                        IF divs='1' AND divisor(63)='1' THEN                            -- Neg divisor
1236
                                                OP1_sign <= '1';
1237
                                                div_reg <= 0-divisor;
1238
                                        ELSE
1239
                                                OP1_sign <= '0';
1240
                                                div_reg <= divisor;
1241
                                        END IF;
1242
                                ELSE
1243
                                        div_reg <= div_quot;
1244
                                        nozero <= NOT div_bit OR nozero;
1245
                                END IF;
1246
                                IF micro_state=div2 THEN
1247
                                        div_qsign <= NOT div_bit;
1248
                                        div_neg <= signedOP AND (OP2out(31) XOR OP1_sign);
1249
                                        IF DIV_Mode=0 THEN
1250
                                                div_over(32 downto 16) <= ('0'&div_reg(47 downto 32))-('0'&OP2out(15 downto 0));
1251
                                        ELSE
1252
                                                div_over <= ('0'&div_reg(63 downto 32))-('0'&OP2out);
1253
                                        END IF;
1254
                                END IF;
1255
                                IF exec(write_reminder)='0' THEN
1256
--                              IF exec_DIVU='0' THEN
1257
                                        IF div_neg='1' THEN
1258
                                                result_div(31 downto 0) <= 0-div_quot(31 downto 0);
1259
                                        ELSE
1260
                                                result_div(31 downto 0) <= div_quot(31 downto 0);
1261
                                        END IF;
1262
 
1263
                                        IF OP1_sign='1' THEN
1264
                                                result_div(63 downto 32) <= 0-div_quot(63 downto 32);
1265
                                        ELSE
1266
                                                result_div(63 downto 32) <= div_quot(63 downto 32);
1267
                                        END IF;
1268
                                END IF;
1269
                        END IF;
1270
                END IF;
1271
        END PROCESS;
1272
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.