OpenCores
URL https://opencores.org/ocsvn/tg68kc/tg68kc/trunk

Subversion Repositories tg68kc

[/] [tg68kc/] [trunk/] [TG68KdotC_Kernel.vhd] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobiflex
------------------------------------------------------------------------------
2
------------------------------------------------------------------------------
3
--                                                                          --
4 4 tobiflex
-- Copyright (c) 2009-2019 Tobias Gubener                                   -- 
5
-- Patches by MikeJ, Till Harbaum, Rok Krajnk, ...                          --
6 2 tobiflex
-- Subdesign fAMpIGA by TobiFlex                                            --
7
--                                                                          --
8
-- This source file is free software: you can redistribute it and/or modify --
9
-- it under the terms of the GNU Lesser General Public License as published --
10
-- by the Free Software Foundation, either version 3 of the License, or     --
11
-- (at your option) any later version.                                      --
12
--                                                                          --
13
-- This source file is distributed in the hope that it will be useful,      --
14
-- but WITHOUT ANY WARRANTY; without even the implied warranty of           --
15
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            --
16
-- GNU General Public License for more details.                             --
17
--                                                                          --
18
-- You should have received a copy of the GNU General Public License        --
19
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.    --
20
--                                                                          --
21
------------------------------------------------------------------------------
22
------------------------------------------------------------------------------
23
 
24 4 tobiflex
-- 30.10.2019 TG bugfix RTR in 68020-mode
25
-- 30.10.2019 TG bugfix BFINS again
26
-- 19.10.2019 TG insert some bugfixes from apolkosnik
27 2 tobiflex
-- 05.12.2018 TG insert RTD opcode
28
-- 03.12.2018 TG insert barrel shifter
29
-- 01.11.2017 TG bugfix V-Flag for ASL/ASR - thanks Peter Graf
30
-- 29.05.2017 TG decode 0x4AFB as illegal, needed for QL BKP - thanks Peter Graf
31
-- 21.05.2017 TG insert generic for hardware multiplier for MULU & MULS
32
-- 04.04.2017 TG change GPL to LGPL
33
-- 04.04.2017 TG BCD handling with all undefined behavior! 
34
-- 02.04.2017 TG bugfix Bitfield Opcodes 
35
-- 19.03.2017 TG insert PACK/UNPACK  
36
-- 19.03.2017 TG bugfix CMPI ...(PC) - thanks Till Harbaum
37
--     ???    MJ bugfix non_aligned movem access
38
-- add berr handling 10.03.2013 - needed for ATARI Core
39
 
40
-- bugfix session 07/08.Feb.2013
41
-- movem ,-(an)
42
-- movem (an)+,          - thanks  Gerhard Suttner
43
-- btst dn,#data         - thanks  Peter Graf
44
-- movep                 - thanks  Till Harbaum
45
-- IPL vector            - thanks  Till Harbaum
46
--  
47
 
48
-- optimize Register file
49
 
50
-- to do 68010:
51
-- (MOVEC)
52
-- BKPT
53
-- MOVES
54
--
55
-- to do 68020:
56
-- (CALLM)
57
-- (RETM)
58
 
59
-- CAS, CAS2
60
-- CHK2
61
-- CMP2
62
-- cpXXX Coprozessor stuff
63
-- TRAPcc
64
 
65
-- done 020:
66
-- PACK
67
-- UNPK
68
-- Bitfields
69
-- address modes
70
-- long bra
71
-- DIVS.L, DIVU.L
72
-- LINK long
73
-- MULS.L, MULU.L
74
-- extb.l
75
 
76
library ieee;
77
use ieee.std_logic_1164.all;
78
use ieee.std_logic_unsigned.all;
79
use work.TG68K_Pack.all;
80
 
81
entity TG68KdotC_Kernel is
82
        generic(
83 5 tobiflex
                SR_Read : integer:= 1;                          --0=>user,              1=>privileged,          2=>switchable with CPU(0)
84
                VBR_Stackframe : integer:= 1;           --0=>no,                        1=>yes/extended,        2=>switchable with CPU(0)
85
                extAddr_Mode : integer:= 1;             --0=>no,                        1=>yes,                         2=>switchable with CPU(1)
86
                MUL_Mode : integer := 1;                        --0=>16Bit,             1=>32Bit,                       2=>switchable with CPU(1),  3=>no MUL,  
87
                MUL_Hardware : integer := 1;            --0=>no,                        1=>yes,  
88
                DIV_Mode : integer := 1;                        --0=>16Bit,             1=>32Bit,                       2=>switchable with CPU(1),  3=>no DIV,  
89
                BarrelShifter : integer := 2;           --0=>no,                        1=>yes,                         2=>switchable with CPU(1)  
90
                BitField : integer := 1                         --0=>no,                        1=>yes,                         2=>switchable with CPU(1)  
91
--              SR_Read : integer:= 0;                          --0=>user,              1=>privileged,          2=>switchable with CPU(0)
92
--              VBR_Stackframe : integer:= 0;           --0=>no,                        1=>yes/extended,        2=>switchable with CPU(0)
93
--              extAddr_Mode : integer:= 0;             --0=>no,                        1=>yes,                         2=>switchable with CPU(1)
94
--              MUL_Mode : integer := 0;                        --0=>16Bit,             1=>32Bit,                       2=>switchable with CPU(1),  3=>no MUL,  
95
--              MUL_Hardware : integer := 1;            --0=>no,                        1=>yes,  
96
--              DIV_Mode : integer := 0;                        --0=>16Bit,             1=>32Bit,                       2=>switchable with CPU(1),  3=>no DIV,  
97
--              BarrelShifter : integer := 0;           --0=>no,                        1=>yes,                         2=>switchable with CPU(1)  
98
--              BitField : integer := 0                         --0=>no,                        1=>yes,                         2=>switchable with CPU(1)  
99 2 tobiflex
                );
100 5 tobiflex
        port(clk                                                : in std_logic;
101
                nReset                                  : in std_logic;                 --low active
102
                clkena_in                               : in std_logic:='1';
103
                data_in                                 : in std_logic_vector(15 downto 0);
104
                IPL                                             : in std_logic_vector(2 downto 0):="111";
105
                IPL_autovector                  : in std_logic:='0';
106
                berr                                            : in std_logic:='0';                                     -- only 68000 Stackpointer dummy
107
                CPU                                             : in std_logic_vector(1 downto 0):="00";  -- 00->68000  01->68010  11->68020(only some parts - yet)
108
                addr_out                                        : out std_logic_vector(31 downto 0);
109
                data_write                              : out std_logic_vector(15 downto 0);
110
                nWr                                             : out std_logic;
111
                nUDS                                            : out std_logic;
112
                nLDS                                            : out std_logic;
113
                busstate                                        : out std_logic_vector(1 downto 0);      -- 00-> fetch code 10->read data 11->write data 01->no memaccess
114
                nResetOut                               : out std_logic;
115
                FC                                                      : out std_logic_vector(2 downto 0);
116
                clr_berr                                        : out std_logic;
117
-- for debug
118
                skipFetch                               : out std_logic;
119
                regin_out                               : out std_logic_vector(31 downto 0);
120
                CACR_out                                        : out std_logic_vector( 3 downto 0);
121
                VBR_out                                 : out std_logic_vector(31 downto 0)
122 4 tobiflex
                );
123 2 tobiflex
end TG68KdotC_Kernel;
124
 
125
architecture logic of TG68KdotC_Kernel is
126
 
127
 
128 4 tobiflex
        signal syncReset                        : std_logic_vector(3 downto 0);
129
        signal Reset                            : std_logic;
130
        signal clkena_lw                        : std_logic;
131
        signal TG68_PC                          : std_logic_vector(31 downto 0);
132
        signal tmp_TG68_PC              : std_logic_vector(31 downto 0);
133
        signal TG68_PC_add              : std_logic_vector(31 downto 0);
134
        signal PC_dataa                 : std_logic_vector(31 downto 0);
135
        signal PC_datab                 : std_logic_vector(31 downto 0);
136
        signal memaddr                          : std_logic_vector(31 downto 0);
137
        signal state                            : std_logic_vector(1 downto 0);
138
        signal datatype                 : std_logic_vector(1 downto 0);
139
        signal set_datatype             : std_logic_vector(1 downto 0);
140
        signal exe_datatype             : std_logic_vector(1 downto 0);
141
        signal setstate                 : std_logic_vector(1 downto 0);
142 2 tobiflex
 
143 4 tobiflex
        signal opcode                           : std_logic_vector(15 downto 0);
144
        signal exe_opcode                       : std_logic_vector(15 downto 0);
145
        signal sndOPC                           : std_logic_vector(15 downto 0);
146 2 tobiflex
 
147 4 tobiflex
        signal last_opc_read            : std_logic_vector(15 downto 0);
148
        signal registerin                       : std_logic_vector(31 downto 0);
149
        signal reg_QA                           : std_logic_vector(31 downto 0);
150
        signal reg_QB                           : std_logic_vector(31 downto 0);
151
        signal Wwrena,Lwrena            : bit;
152
        signal Bwrena                           : bit;
153
        signal Regwrena_now             : bit;
154 2 tobiflex
        signal rf_dest_addr             : std_logic_vector(3 downto 0);
155
        signal rf_source_addr   : std_logic_vector(3 downto 0);
156
        signal rf_source_addrd  : std_logic_vector(3 downto 0);
157
 
158 4 tobiflex
        signal regin                            : std_logic_vector(31 downto 0);
159
        type   regfile_t is array(0 to 15) of std_logic_vector(31 downto 0);
160
        signal regfile                          : regfile_t := (OTHERS => (OTHERS => '0')); -- mikej stops sim X issues;
161
        signal RDindex_A                        : integer range 0 to 15;
162
        signal RDindex_B                        : integer range 0 to 15;
163
        signal WR_AReg                          : std_logic;
164 2 tobiflex
 
165
 
166 4 tobiflex
        signal addr                                     : std_logic_vector(31 downto 0);
167
        signal memaddr_reg              : std_logic_vector(31 downto 0);
168
        signal memaddr_delta            : std_logic_vector(31 downto 0);
169
        signal use_base                 : bit;
170 2 tobiflex
 
171 4 tobiflex
        signal ea_data                          : std_logic_vector(31 downto 0);
172
        signal OP1out                           : std_logic_vector(31 downto 0);
173
        signal OP2out                           : std_logic_vector(31 downto 0);
174
        signal OP1outbrief              : std_logic_vector(15 downto 0);
175
        signal OP1in                            : std_logic_vector(31 downto 0);
176
        signal ALUout   : std_logic_vector(31 downto 0);
177
        signal data_write_tmp   : std_logic_vector(31 downto 0);
178
        signal data_write_muxin : std_logic_vector(31 downto 0);
179
        signal data_write_mux   : std_logic_vector(47 downto 0);
180
        signal nextpass                 : bit;
181
        signal setnextpass              : bit;
182
        signal setdispbyte              : bit;
183
        signal setdisp                          : bit;
184
        signal regdirectsource  :bit;           -- checken !!!
185
        signal addsub_q                 : std_logic_vector(31 downto 0);
186
        signal briefdata                        : std_logic_vector(31 downto 0);
187
--      signal c_in                             : std_logic_vector(3 downto 0);
188
        signal c_out                            : std_logic_vector(2 downto 0);
189 2 tobiflex
 
190 4 tobiflex
        signal mem_address              : std_logic_vector(31 downto 0);
191
        signal memaddr_a                        : std_logic_vector(31 downto 0);
192 2 tobiflex
 
193 4 tobiflex
        signal TG68_PC_brw              : bit;
194
        signal TG68_PC_word             : bit;
195
        signal getbrief                 : bit;
196
        signal brief                            : std_logic_vector(15 downto 0);
197
        signal dest_areg                        : std_logic;
198
        signal source_areg              : std_logic;
199
        signal data_is_source   : bit;
200
        signal store_in_tmp             : bit;
201
        signal write_back                       : bit;
202
        signal exec_write_back  : bit;
203
        signal setstackaddr             : bit;
204
        signal writePC                          : bit;
205
        signal writePCbig                       : bit;
206
        signal set_writePCbig   : bit;
207
        signal setopcode                        : bit;
208
        signal decodeOPC                        : bit;
209
        signal execOPC                          : bit;
210
        signal setexecOPC                       : bit;
211
        signal endOPC                           : bit;
212
        signal setendOPC                        : bit;
213
        signal Flags                            : std_logic_vector(7 downto 0);  -- ...XNZVC
214
        signal FlagsSR                          : std_logic_vector(7 downto 0);  -- T.S.0III
215
        signal SRin                                     : std_logic_vector(7 downto 0);
216
        signal exec_DIRECT              : bit;
217
        signal exec_tas                 : std_logic;
218
        signal set_exec_tas             : std_logic;
219 2 tobiflex
 
220 4 tobiflex
        signal exe_condition            : std_logic;
221
        signal ea_only                          : bit;
222
        signal source_lowbits   : bit;
223
        signal source_2ndHbits  : bit;
224
        signal source_2ndLbits  : bit;
225
        signal dest_2ndHbits            : bit;
226
        signal dest_hbits                       : bit;
227
        signal rot_bits                 : std_logic_vector(1 downto 0);
228
        signal set_rot_bits             : std_logic_vector(1 downto 0);
229
        signal rot_cnt                          : std_logic_vector(5 downto 0);
230
        signal set_rot_cnt              : std_logic_vector(5 downto 0);
231
        signal movem_actiond            : bit;
232
        signal movem_regaddr            : std_logic_vector(3 downto 0);
233
        signal movem_mux                        : std_logic_vector(3 downto 0);
234
        signal movem_presub             : bit;
235
        signal movem_run                        : bit;
236
        signal ea_calc_b                        : std_logic_vector(31 downto 0);
237
        signal set_direct_data  : bit;
238
        signal use_direct_data  : bit;
239
        signal direct_data              : bit;
240 2 tobiflex
 
241 4 tobiflex
        signal set_V_Flag                       : bit;
242
        signal set_vectoraddr   : bit;
243
        signal writeSR                          : bit;
244
        signal trap_berr                        : bit;
245
        signal trap_illegal             : bit;
246
        signal trap_addr_error  : bit;
247
        signal trap_priv                        : bit;
248
        signal trap_trace                       : bit;
249
        signal trap_1010                        : bit;
250
        signal trap_1111                        : bit;
251
        signal trap_trap                        : bit;
252
        signal trap_trapv                       : bit;
253
        signal trap_interrupt   : bit;
254
        signal trapmake                 : bit;
255
        signal trapd                            : bit;
256
        signal trap_SR                          : std_logic_vector(7 downto 0);
257
        signal make_trace                       : std_logic;
258
        signal make_berr                        : std_logic;
259 2 tobiflex
 
260 4 tobiflex
        signal set_stop                 : bit;
261
        signal stop                                     : bit;
262
        signal trap_vector              : std_logic_vector(31 downto 0);
263
        signal trap_vector_vbr  : std_logic_vector(31 downto 0);
264
        signal USP                                      : std_logic_vector(31 downto 0);
265
--      signal illegal_write_mode       : bit;
266
--      signal illegal_read_mode        : bit;
267
--      signal illegal_byteaddr         : bit;
268 2 tobiflex
 
269 4 tobiflex
        signal IPL_nr                           : std_logic_vector(2 downto 0);
270
        signal rIPL_nr                          : std_logic_vector(2 downto 0);
271
        signal IPL_vec                          : std_logic_vector(7 downto 0);
272
        signal interrupt                        : bit;
273
        signal setinterrupt             : bit;
274
        signal SVmode                           : std_logic;
275
        signal preSVmode                        : std_logic;
276
        signal Suppress_Base            : bit;
277
        signal set_Suppress_Base: bit;
278
        signal set_Z_error              : bit;
279
        signal Z_error                  : bit;
280
        signal ea_build_now             : bit;
281
        signal build_logical            : bit;
282
        signal build_bcd                        : bit;
283 2 tobiflex
 
284 4 tobiflex
        signal data_read                        : std_logic_vector(31 downto 0);
285
        signal bf_ext_in                        : std_logic_vector(7 downto 0);
286
        signal bf_ext_out                       : std_logic_vector(7 downto 0);
287
--      signal byte                                     : bit;
288
        signal long_start                       : bit;
289 2 tobiflex
        signal long_start_alu   : bit;
290 4 tobiflex
        signal non_aligned              : std_logic;
291
        signal long_done                        : bit;
292
        signal memmask                          : std_logic_vector(5 downto 0);
293
        signal set_memmask              : std_logic_vector(5 downto 0);
294
        signal memread                          : std_logic_vector(3 downto 0);
295
        signal wbmemmask                        : std_logic_vector(5 downto 0);
296
        signal memmaskmux                       : std_logic_vector(5 downto 0);
297
        signal oddout                           : std_logic;
298
        signal set_oddout                       : std_logic;
299
        signal PCbase                           : std_logic;
300
        signal set_PCbase                       : std_logic;
301 2 tobiflex
 
302 4 tobiflex
        signal last_data_read   : std_logic_vector(31 downto 0);
303
        signal last_data_in             : std_logic_vector(31 downto 0);
304 2 tobiflex
 
305 4 tobiflex
        signal bf_offset                        : std_logic_vector(5 downto 0);
306
        signal bf_width                 : std_logic_vector(5 downto 0);
307
        signal bf_bhits                 : std_logic_vector(5 downto 0);
308
        signal bf_shift                 : std_logic_vector(5 downto 0);
309
        signal alu_width                        : std_logic_vector(5 downto 0);
310
        signal alu_bf_shift             : std_logic_vector(5 downto 0);
311
        signal bf_loffset                       : std_logic_vector(5 downto 0);
312
        signal bf_full_offset   : std_logic_vector(31 downto 0);
313
        signal alu_bf_ffo_offset: std_logic_vector(31 downto 0);
314
        signal alu_bf_loffset   : std_logic_vector(5 downto 0);
315 2 tobiflex
 
316 4 tobiflex
        signal movec_data                       : std_logic_vector(31 downto 0);
317
        signal VBR                                      : std_logic_vector(31 downto 0);
318
        signal CACR                                     : std_logic_vector(3 downto 0);
319
        signal DFC                                      : std_logic_vector(2 downto 0);
320
        signal SFC                                      : std_logic_vector(2 downto 0);
321 2 tobiflex
 
322
 
323 4 tobiflex
        signal set                                      : bit_vector(lastOpcBit downto 0);
324
        signal set_exec                 : bit_vector(lastOpcBit downto 0);
325
        signal exec                                     : bit_vector(lastOpcBit downto 0);
326 2 tobiflex
 
327
        signal micro_state              : micro_states;
328
        signal next_micro_state : micro_states;
329
 
330
 
331
 
332
BEGIN
333
 
334
ALU: TG68K_ALU
335
        generic map(
336 4 tobiflex
                MUL_Mode => MUL_Mode,                           --0=>16Bit,     1=>32Bit,       2=>switchable with CPU(1),              3=>no MUL,
337
                MUL_Hardware => MUL_Hardware,           --0=>no,                1=>yes,
338
                DIV_Mode => DIV_Mode,                           --0=>16Bit,     1=>32Bit,       2=>switchable with CPU(1),              3=>no DIV,
339
                BarrelShifter => BarrelShifter  --0=>no,                1=>yes,         2=>switchable with CPU(1)  
340 2 tobiflex
                )
341 5 tobiflex
        port map(
342
                clk => clk,                                                             --: in std_logic;
343
                Reset => Reset,                                         --: in std_logic;
344
                clkena_lw => clkena_lw,                         --: in std_logic:='1';
345
                execOPC => execOPC,                                     --: in bit;
346
                decodeOPC => decodeOPC,                         --: in bit;
347
                exe_condition => exe_condition, --: in std_logic;
348
                exec_tas => exec_tas,                           --: in std_logic;
349
                long_start => long_start_alu,           --: in bit;
350
                non_aligned => non_aligned,
351
                movem_presub => movem_presub,           --: in bit;
352
                set_stop => set_stop,                           --: in bit;
353
                Z_error => Z_error,                                     --: in bit;
354 2 tobiflex
 
355 5 tobiflex
                rot_bits => rot_bits,                           --: in std_logic_vector(1 downto 0);
356
                exec => exec,                                                   --: in bit_vector(lastOpcBit downto 0);
357
                OP1out => OP1out,                                               --: in std_logic_vector(31 downto 0);
358
                OP2out => OP2out,                                               --: in std_logic_vector(31 downto 0);
359
                reg_QA => reg_QA,                                               --: in std_logic_vector(31 downto 0);
360
                reg_QB => reg_QB,                                               --: in std_logic_vector(31 downto 0);
361
                opcode => opcode,                                               --: in std_logic_vector(15 downto 0);
362
                exe_opcode => exe_opcode,                       --: in std_logic_vector(15 downto 0);
363
                exe_datatype => exe_datatype,           --: in std_logic_vector(1 downto 0);
364
                sndOPC => sndOPC,                                               --: in std_logic_vector(15 downto 0);
365
                last_data_read => last_data_read(15 downto 0),   --: in std_logic_vector(31 downto 0);
366
                data_read => data_read(15 downto 0),                             --: in std_logic_vector(31 downto 0);
367
                FlagsSR => FlagsSR,                                     --: in std_logic_vector(7 downto 0);
368
                micro_state => micro_state,             --: in micro_states;  
369
                bf_ext_in => bf_ext_in,
370
                bf_ext_out => bf_ext_out,
371
                bf_shift => alu_bf_shift,
372
                bf_width => alu_width,
373
                bf_ffo_offset => alu_bf_ffo_offset,
374
                bf_loffset => alu_bf_loffset(4 downto 0),
375
 
376
                set_V_Flag => set_V_Flag,                       --: buffer bit;
377
                Flags => Flags,                                         --: buffer std_logic_vector(8 downto 0);
378
                c_out => c_out,                                         --: buffer std_logic_vector(2 downto 0);
379
                addsub_q => addsub_q,                           --: buffer std_logic_vector(31 downto 0);
380
                ALUout => ALUout                                                --: buffer std_logic_vector(31 downto 0)
381
        );
382
 
383
        long_start_alu <= to_bit(NOT memmaskmux(3));
384
 
385
        process (memmaskmux)
386
        begin
387
                non_aligned <= '0';
388
                if (memmaskmux(5 downto 4) = "01") or (memmaskmux(5 downto 4) = "10") then
389
                        non_aligned <= '1';
390
                end if;
391
        end process;
392 2 tobiflex
-----------------------------------------------------------------------------
393
-- Bus control
394
-----------------------------------------------------------------------------
395 4 tobiflex
   regin_out <= regin;
396
 
397
 
398 2 tobiflex
        nWr <= '0' WHEN state="11" ELSE '1';
399
        busstate <= state;
400
        nResetOut <= '0' WHEN exec(opcRESET)='1' ELSE '1';
401
 
402 5 tobiflex
        -- does shift for byte access. note active low me
403
        -- should produce address error on 68000
404
        memmaskmux <= memmask when addr(0) = '1' else memmask(4 downto 0) & '1';
405 2 tobiflex
        nUDS <= memmaskmux(5);
406
        nLDS <= memmaskmux(4);
407
        clkena_lw <= '1' WHEN clkena_in='1' AND memmaskmux(3)='1' ELSE '0';
408
        clr_berr <= '1' WHEN setopcode='1' AND trap_berr='1' ELSE '0';
409
 
410
        PROCESS (clk, nReset)
411
        BEGIN
412
                IF nReset='0' THEN
413
                        syncReset <= "0000";
414
                        Reset <= '1';
415
                ELSIF rising_edge(clk) THEN
416
                        IF clkena_in='1' THEN
417
                                syncReset <= syncReset(2 downto 0)&'1';
418
                                Reset <= NOT syncReset(3);
419
                        END IF;
420
                END IF;
421
        END PROCESS;
422
 
423
PROCESS (clk, long_done, last_data_in, data_in, addr, long_start, memmaskmux, memread, memmask, data_read)
424
        BEGIN
425
                IF memmaskmux(4)='0' THEN
426
                        data_read <= last_data_in(15 downto 0)&data_in;
427
                ELSE
428
                        data_read <= last_data_in(23 downto 0)&data_in(15 downto 8);
429
                END IF;
430
                IF memread(0)='1' OR (memread(1 downto 0)="10" AND memmaskmux(4)='1')THEN
431
                        data_read(31 downto 16) <= (OTHERS=>data_read(15));
432
                END IF;
433
 
434
                IF rising_edge(clk) THEN
435
                        IF clkena_lw='1' AND state="10" THEN
436
                                IF memmaskmux(4)='0' THEN
437
                                        bf_ext_in <= last_data_in(23 downto 16);
438
                                ELSE
439
                                        bf_ext_in <= last_data_in(31 downto 24);
440
                                END IF;
441
                        END IF;
442
                        IF Reset='1' THEN
443
                                last_data_read <= (OTHERS => '0');
444
                        ELSIF clkena_in='1' THEN
445
                                IF state="00" OR exec(update_ld)='1' THEN
446
                                        last_data_read <= data_read;
447
                                        IF state(1)='0' AND memmask(1)='0' THEN
448
                                                last_data_read(31 downto 16) <= last_opc_read;
449
                                        ELSIF state(1)='0' OR memread(1)='1' THEN
450
                                                last_data_read(31 downto 16) <= (OTHERS=>data_in(15));
451
                                        END IF;
452
                                END IF;
453
                                last_data_in <= last_data_in(15 downto 0)&data_in(15 downto 0);
454
 
455
                        END IF;
456
                END IF;
457
                                long_start <= to_bit(NOT memmask(1));
458
                                long_done <= to_bit(NOT memread(1));
459
        END PROCESS;
460
 
461
PROCESS (long_start, reg_QB, data_write_tmp, exec, data_read, data_write_mux, memmaskmux, bf_ext_out,
462
                 data_write_muxin, memmask, oddout, addr)
463
        BEGIN
464
                IF exec(write_reg)='1' THEN
465
                        data_write_muxin <= reg_QB;
466
                ELSE
467
                        data_write_muxin <= data_write_tmp;
468
                END IF;
469
 
470
                IF BitField=0 THEN
471
                        IF oddout=addr(0) THEN
472
                                data_write_mux <= "--------"&"--------"&data_write_muxin;
473
                        ELSE
474
                                data_write_mux <= "--------"&data_write_muxin&"--------";
475
                        END IF;
476
                ELSE
477
                        IF oddout=addr(0) THEN
478
                                data_write_mux <= "--------"&bf_ext_out&data_write_muxin;
479
                        ELSE
480
                                data_write_mux <= bf_ext_out&data_write_muxin&"--------";
481
                        END IF;
482
                END IF;
483
 
484
                IF memmaskmux(1)='0' THEN
485
                        data_write <= data_write_mux(47 downto 32);
486
                ELSIF memmaskmux(3)='0' THEN
487
                        data_write <= data_write_mux(31 downto 16);
488
                ELSE
489
                        data_write <= data_write_mux(15 downto 0);
490
                END IF;
491
                IF exec(mem_byte)='1' THEN      --movep
492
                        data_write(7 downto 0) <= data_write_tmp(15 downto 8);
493
                END IF;
494
        END PROCESS;
495
 
496
-----------------------------------------------------------------------------
497
-- Registerfile
498
-----------------------------------------------------------------------------
499
PROCESS (clk, regfile, RDindex_A, RDindex_B, exec)
500
        BEGIN
501
                reg_QA <= regfile(RDindex_A);
502
                reg_QB <= regfile(RDindex_B);
503
                IF rising_edge(clk) THEN
504
                    IF clkena_lw='1' THEN
505
                                rf_source_addrd <= rf_source_addr;
506
                                WR_AReg <= rf_dest_addr(3);
507
                                RDindex_A <= conv_integer(rf_dest_addr(3 downto 0));
508
                                RDindex_B <= conv_integer(rf_source_addr(3 downto 0));
509
                                IF Wwrena='1' THEN
510
                                        regfile(RDindex_A) <= regin;
511
                                END IF;
512
 
513
                                IF exec(to_USP)='1' THEN
514
                                        USP <= reg_QA;
515
                                END IF;
516
                        END IF;
517
                END IF;
518
        END PROCESS;
519
 
520
-----------------------------------------------------------------------------
521
-- Write Reg
522
-----------------------------------------------------------------------------
523
PROCESS (OP1in, reg_QA, Regwrena_now, Bwrena, Lwrena, exe_datatype, WR_AReg, movem_actiond, exec, ALUout, memaddr, memaddr_a, ea_only, USP, movec_data)
524
        BEGIN
525
                regin <= ALUout;
526
                IF exec(save_memaddr)='1' THEN
527
                        regin <= memaddr;
528
                ELSIF exec(get_ea_now)='1' AND ea_only='1' THEN
529
                        regin <= memaddr_a;
530
                ELSIF exec(from_USP)='1' THEN
531
                        regin <= USP;
532
                ELSIF exec(movec_rd)='1' THEN
533
                        regin <= movec_data;
534
                END IF;
535
 
536
                IF Bwrena='1' THEN
537
                        regin(15 downto 8) <= reg_QA(15 downto 8);
538
                END IF;
539
                IF Lwrena='0' THEN
540
                        regin(31 downto 16) <= reg_QA(31 downto 16);
541
                END IF;
542
 
543
                Bwrena <= '0';
544
                Wwrena <= '0';
545
                Lwrena <= '0';
546
                IF exec(presub)='1' OR exec(postadd)='1' OR exec(changeMode)='1' THEN           -- -(An)+
547
                        Wwrena <= '1';
548
                        Lwrena <= '1';
549
                ELSIF Regwrena_now='1' THEN             --dbcc  
550
                        Wwrena <= '1';
551
                ELSIF exec(Regwrena)='1' THEN           --read (mem)
552
                        Wwrena <= '1';
553
                        CASE exe_datatype IS
554
                                WHEN "00" =>            --BYTE
555
                                        Bwrena <= '1';
556
                                WHEN "01" =>            --WORD
557
                                        IF WR_AReg='1' OR movem_actiond='1' THEN
558
                                                Lwrena <='1';
559
                                        END IF;
560
                                WHEN OTHERS =>          --LONG
561
                                        Lwrena <= '1';
562
                        END CASE;
563
                END IF;
564
        END PROCESS;
565
 
566
-----------------------------------------------------------------------------
567
-- set dest regaddr
568
-----------------------------------------------------------------------------
569
PROCESS (opcode, rf_source_addrd, brief, setstackaddr, dest_hbits, dest_areg, data_is_source, sndOPC, exec, set, dest_2ndHbits)
570
        BEGIN
571
                IF exec(movem_action) ='1' THEN
572
                        rf_dest_addr <= rf_source_addrd;
573
                ELSIF set(briefext)='1' THEN
574
                        rf_dest_addr <= brief(15 downto 12);
575 4 tobiflex
                ELSIF set(get_bfoffset)='1' THEN
576 5 tobiflex
--                      IF opcode(15 downto 12)="1110" THEN
577 4 tobiflex
                                rf_dest_addr <= '0'&sndOPC(8 downto 6);
578 5 tobiflex
--                      ELSE
579
--                              rf_dest_addr <= sndOPC(9 downto 6);
580
--                      END IF;
581 2 tobiflex
                ELSIF dest_2ndHbits='1' THEN
582 4 tobiflex
                        rf_dest_addr <= '0'&sndOPC(14 downto 12);
583 2 tobiflex
                ELSIF set(write_reminder)='1' THEN
584 4 tobiflex
                        rf_dest_addr <= '0'&sndOPC(2 downto 0);
585 2 tobiflex
                ELSIF setstackaddr='1' THEN
586
                        rf_dest_addr <= "1111";
587
                ELSIF dest_hbits='1' THEN
588
                        rf_dest_addr <= dest_areg&opcode(11 downto 9);
589
                ELSE
590
                        IF opcode(5 downto 3)="000" OR data_is_source='1' THEN
591
                                rf_dest_addr <= dest_areg&opcode(2 downto 0);
592
                        ELSE
593
                                rf_dest_addr <= '1'&opcode(2 downto 0);
594
                        END IF;
595
                END IF;
596
        END PROCESS;
597
 
598
-----------------------------------------------------------------------------
599
-- set source regaddr
600
-----------------------------------------------------------------------------
601
PROCESS (opcode, movem_presub, movem_regaddr, source_lowbits, source_areg, sndOPC, exec, set, source_2ndLbits, source_2ndHbits)
602
        BEGIN
603
                IF exec(movem_action)='1' OR set(movem_action) ='1' THEN
604
                        IF movem_presub='1' THEN
605
                                rf_source_addr <= movem_regaddr XOR "1111";
606
                        ELSE
607
                                rf_source_addr <= movem_regaddr;
608
                        END IF;
609
                ELSIF source_2ndLbits='1' THEN
610 4 tobiflex
                        rf_source_addr <= '0'&sndOPC(2 downto 0);
611 2 tobiflex
                ELSIF source_2ndHbits='1' THEN
612 4 tobiflex
                        rf_source_addr <= '0'&sndOPC(14 downto 12);
613 2 tobiflex
                ELSIF source_lowbits='1' THEN
614
                        rf_source_addr <= source_areg&opcode(2 downto 0);
615
                ELSIF exec(linksp)='1' THEN
616
                        rf_source_addr <= "1111";
617
                ELSE
618
                        rf_source_addr <= source_areg&opcode(11 downto 9);
619
                END IF;
620
        END PROCESS;
621
 
622
-----------------------------------------------------------------------------
623
-- set OP1out
624
-----------------------------------------------------------------------------
625
PROCESS (reg_QA, store_in_tmp, ea_data, long_start, addr, exec, memmaskmux)
626
        BEGIN
627
                OP1out <= reg_QA;
628
                IF exec(OP1out_zero)='1' THEN
629
                        OP1out <= (OTHERS => '0');
630
                ELSIF exec(ea_data_OP1)='1' AND store_in_tmp='1' THEN
631
                        OP1out <= ea_data;
632
                ELSIF exec(movem_action)='1' OR memmaskmux(3)='0' OR exec(OP1addr)='1' THEN
633
                        OP1out <= addr;
634
                END IF;
635
        END PROCESS;
636
 
637
-----------------------------------------------------------------------------
638
-- set OP2out
639
-----------------------------------------------------------------------------
640
PROCESS (OP2out, reg_QB, exe_opcode, exe_datatype, execOPC, exec, use_direct_data,
641
             store_in_tmp, data_write_tmp, ea_data)
642
        BEGIN
643
                OP2out(15 downto 0) <= reg_QB(15 downto 0);
644
                OP2out(31 downto 16) <= (OTHERS => OP2out(15));
645
                IF exec(OP2out_one)='1' THEN
646
                        OP2out(15 downto 0) <= "1111111111111111";
647
                ELSIF exec(opcEXT)='1' THEN
648
                        IF exe_opcode(6)='0' OR exe_opcode(8)='1' THEN   --ext.w
649
                                OP2out(15 downto 8) <= (OTHERS => OP2out(7));
650
                        END IF;
651
                ELSIF use_direct_data='1' OR (exec(exg)='1' AND execOPC='1') OR exec(get_bfoffset)='1' THEN
652
                        OP2out <= data_write_tmp;
653
                ELSIF (exec(ea_data_OP1)='0' AND store_in_tmp='1') OR exec(ea_data_OP2)='1' THEN
654
                        OP2out <= ea_data;
655
                ELSIF exec(opcMOVEQ)='1' THEN
656
                        OP2out(7 downto 0) <= exe_opcode(7 downto 0);
657
                        OP2out(15 downto 8) <= (OTHERS => exe_opcode(7));
658
                ELSIF exec(opcADDQ)='1' THEN
659
                        OP2out(2 downto 0) <= exe_opcode(11 downto 9);
660
                        IF exe_opcode(11 downto 9)="000" THEN
661
                                OP2out(3) <='1';
662
                        ELSE
663
                                OP2out(3) <='0';
664
                        END IF;
665
                        OP2out(15 downto 4) <= (OTHERS => '0');
666
                ELSIF exe_datatype="10" THEN
667
                        OP2out(31 downto 16) <= reg_QB(31 downto 16);
668
                END IF;
669
        END PROCESS;
670
 
671
 
672
-----------------------------------------------------------------------------
673
-- handle EA_data, data_write
674
-----------------------------------------------------------------------------
675
PROCESS (clk)
676
        BEGIN
677
        IF rising_edge(clk) THEN
678
                        IF Reset = '1' THEN
679
                                store_in_tmp <='0';
680
                                exec_write_back <= '0';
681
                                direct_data <= '0';
682
                                use_direct_data <= '0';
683
                                Z_error <= '0';
684
                        ELSIF clkena_lw='1' THEN
685
                                direct_data <= '0';
686
                                IF state="11" THEN
687
                                        exec_write_back <= '0';
688
                                ELSIF setstate="10" AND write_back='1' THEN
689
                                        exec_write_back <= '1';
690
                                END IF;
691
 
692
 
693
                                IF set_direct_data='1' THEN
694
                                        direct_data <= '1';
695
                                        use_direct_data <= '1';
696
                                ELSIF endOPC='1' THEN
697
                                        use_direct_data <= '0';
698
                                END IF;
699
                                exec_DIRECT <= set_exec(opcMOVE);
700
 
701
                                IF endOPC='1' THEN
702
                                        store_in_tmp <='0';
703
                                        Z_error <= '0';
704
                                ELSE
705
                                        IF set_Z_error='1'  THEN
706
                                                Z_error <= '1';
707
                                        END IF;
708
                                        IF set_exec(opcMOVE)='1' AND state="11" THEN
709
                                                use_direct_data <= '1';
710
                                        END IF;
711
 
712
                                        IF state="10" OR exec(store_ea_packdata)='1' THEN
713
                                                store_in_tmp <= '1';
714
                                        END IF;
715
                                        IF direct_data='1' AND state="00" THEN
716
                                                store_in_tmp <= '1';
717
                                        END IF;
718
                                END IF;
719
 
720
                                IF state="10" AND exec(hold_ea_data)='0' THEN
721
                                        ea_data <= data_read;
722
                                ELSIF exec(get_2ndOPC)='1' THEN
723
                                        ea_data <= addr;
724
                                ELSIF exec(store_ea_data)='1' OR (direct_data='1' AND state="00") THEN
725
                                        ea_data <= last_data_read;
726
                                END IF;
727
 
728
                                IF writePC='1' THEN
729
                                        data_write_tmp <= TG68_PC;
730
                                ELSIF exec(writePC_add)='1' THEN
731
                                        data_write_tmp <= TG68_PC_add;
732
                                ELSIF micro_state=trap0 THEN
733
                                        data_write_tmp(15 downto 0) <= trap_vector(15 downto 0);
734
                                ELSIF exec(hold_dwr)='1' THEN
735
                                        data_write_tmp <= data_write_tmp;
736
                                ELSIF exec(exg)='1' THEN
737
                                        data_write_tmp <= OP1out;
738
                                ELSIF exec(get_ea_now)='1' AND ea_only='1' THEN         -- ist for pea
739
                                        data_write_tmp <= addr;
740
                                ELSIF execOPC='1' THEN
741
                                        data_write_tmp <= ALUout;
742
                                ELSIF (exec_DIRECT='1' AND state="10") THEN
743
                                        data_write_tmp <= data_read;
744
                                        IF  exec(movepl)='1' THEN
745
                                                data_write_tmp(31 downto 8) <= data_write_tmp(23 downto 0);
746
                                        END IF;
747
                                ELSIF exec(movepl)='1' THEN
748
                                        data_write_tmp(15 downto 0) <= reg_QB(31 downto 16);
749
                                ELSIF direct_data='1' THEN
750
                                        data_write_tmp <= last_data_read;
751
                                ELSIF writeSR='1'THEN
752
                                        data_write_tmp(15 downto 0) <= trap_SR(7 downto 0)& Flags(7 downto 0);
753
                                ELSE
754
                                        data_write_tmp <= OP2out;
755
                                END IF;
756
                        END IF;
757
                END IF;
758
        END PROCESS;
759
 
760
-----------------------------------------------------------------------------
761
-- brief
762
-----------------------------------------------------------------------------
763
PROCESS (brief, OP1out, OP1outbrief, cpu)
764
        BEGIN
765
                IF brief(11)='1' THEN
766
                        OP1outbrief <= OP1out(31 downto 16);
767
                ELSE
768
                        OP1outbrief <= (OTHERS=>OP1out(15));
769
                END IF;
770
                briefdata <= OP1outbrief&OP1out(15 downto 0);
771
                IF extAddr_Mode=1 OR (cpu(1)='1' AND extAddr_Mode=2) THEN
772
                        CASE brief(10 downto 9) IS
773
                                WHEN "00" => briefdata <= OP1outbrief&OP1out(15 downto 0);
774
                                WHEN "01" => briefdata <= OP1outbrief(14 downto 0)&OP1out(15 downto 0)&'0';
775
                                WHEN "10" => briefdata <= OP1outbrief(13 downto 0)&OP1out(15 downto 0)&"00";
776
                                WHEN "11" => briefdata <= OP1outbrief(12 downto 0)&OP1out(15 downto 0)&"000";
777
                                WHEN OTHERS => NULL;
778
                        END CASE;
779
                END IF;
780
        END PROCESS;
781
 
782
-----------------------------------------------------------------------------
783
-- MEM_IO 
784
-----------------------------------------------------------------------------
785
PROCESS (clk, setdisp, memaddr_a, briefdata, memaddr_delta, setdispbyte, datatype, interrupt, rIPL_nr, IPL_vec,
786
         memaddr_reg, reg_QA, use_base, VBR, last_data_read, trap_vector, exec, set, cpu)
787
        BEGIN
788
 
789
                IF rising_edge(clk) THEN
790
                        IF clkena_lw='1' THEN
791
                                trap_vector(31 downto 10) <= (others => '0');
792
                                IF trap_berr='1' THEN
793
                                        trap_vector(9 downto 0) <= "00" & X"08";
794
                                END IF;
795
                                IF trap_addr_error='1' THEN
796
                                        trap_vector(9 downto 0) <= "00" & X"0C";
797
                                END IF;
798
                                IF trap_illegal='1' THEN
799
                                        trap_vector(9 downto 0) <= "00" & X"10";
800
                                END IF;
801
                                IF z_error='1' THEN
802
                                        trap_vector(9 downto 0) <= "00" & X"14";
803
                                END IF;
804
                                IF exec(trap_chk)='1' THEN
805
                                        trap_vector(9 downto 0) <= "00" & X"18";
806
                                END IF;
807
                                IF trap_trapv='1' THEN
808
                                        trap_vector(9 downto 0) <= "00" & X"1C";
809
                                END IF;
810
                                IF trap_priv='1' THEN
811
                                        trap_vector(9 downto 0) <= "00" & X"20";
812
                                END IF;
813
                                IF trap_trace='1' THEN
814
                                        trap_vector(9 downto 0) <= "00" & X"24";
815
                                END IF;
816
                                IF trap_1010='1' THEN
817
                                        trap_vector(9 downto 0) <= "00" & X"28";
818
                                END IF;
819
                                IF trap_1111='1' THEN
820
                                        trap_vector(9 downto 0) <= "00" & X"2C";
821
                                END IF;
822
                                IF trap_trap='1' THEN
823
                                        trap_vector(9 downto 0) <= "0010" & opcode(3 downto 0) & "00";
824
                                END IF;
825
                                IF trap_interrupt='1' or set_vectoraddr = '1' THEN
826
                                        trap_vector(9 downto 0) <= IPL_vec & "00";      --TH
827
                                END IF;
828
                        END IF;
829
                END IF;
830
                IF VBR_Stackframe=0 OR (cpu(0)='0' AND VBR_Stackframe=2) THEN
831
                        trap_vector_vbr <= trap_vector;
832
                ELSE
833
                        trap_vector_vbr <= trap_vector+VBR;
834
                END IF;
835
 
836
                memaddr_a(4 downto 0) <= "00000";
837
                memaddr_a(7 downto 5) <= (OTHERS=>memaddr_a(4));
838
                memaddr_a(15 downto 8) <= (OTHERS=>memaddr_a(7));
839
                memaddr_a(31 downto 16) <= (OTHERS=>memaddr_a(15));
840
                IF setdisp='1' THEN
841
                        IF exec(briefext)='1' THEN
842
                                memaddr_a <= briefdata+memaddr_delta;
843
                        ELSIF setdispbyte='1' THEN
844
                                memaddr_a(7 downto 0) <= last_data_read(7 downto 0);
845
                        ELSE
846
                                memaddr_a <= last_data_read;
847
                        END IF;
848
                ELSIF set(presub)='1' THEN
849
                        IF set(longaktion)='1' THEN
850
                                memaddr_a(4 downto 0) <= "11100";
851
                        ELSIF datatype="00" AND set(use_SP)='0' THEN
852
                                memaddr_a(4 downto 0) <= "11111";
853
                        ELSE
854
                                memaddr_a(4 downto 0) <= "11110";
855
                        END IF;
856
                ELSIF interrupt='1' THEN
857
                        memaddr_a(4 downto 0) <= '1'&rIPL_nr&'0';
858
                END IF;
859
 
860
                IF rising_edge(clk) THEN
861
                        IF clkena_in='1' THEN
862
                                IF exec(get_2ndOPC)='1' OR (state="10" AND memread(0)='1') THEN
863
                                        tmp_TG68_PC <= addr;
864
                                END IF;
865
                                use_base <= '0';
866
                                IF memmaskmux(3)='0' OR exec(mem_addsub)='1' THEN
867
                                        memaddr_delta <= addsub_q;
868
                                ELSIF state="01" AND exec_write_back='1' THEN
869
                                        memaddr_delta <= tmp_TG68_PC;
870
                                ELSIF exec(direct_delta)='1' THEN
871
                                        memaddr_delta <= data_read;
872
                                ELSIF exec(ea_to_pc)='1' AND setstate="00" THEN
873
                                        memaddr_delta <= addr;
874
                                ELSIF set(addrlong)='1' THEN
875
                                        memaddr_delta <= last_data_read;
876
                                ELSIF setstate="00" THEN
877
                                        memaddr_delta <= TG68_PC_add;
878
                                ELSIF exec(dispouter)='1' THEN
879
                                        memaddr_delta <= ea_data+memaddr_a;
880
                                ELSIF set_vectoraddr='1' THEN
881
                                        memaddr_delta <= trap_vector_vbr;
882
                                ELSE
883
                                        memaddr_delta <= memaddr_a;
884
                                        IF interrupt='0' AND Suppress_Base='0' THEN
885
--                                      IF interrupt='0' AND Suppress_Base='0' AND setstate(1)='1' THEN
886
                                                use_base <= '1';
887
                                        END IF;
888
                                END IF;
889
 
890
                -- only used for movem address update
891
--                                      IF (long_done='0' AND state(1)='1') OR movem_presub='0' THEN
892
                                        if ((memread(0) = '1') and state(1) = '1') or movem_presub = '0' then -- fix for unaligned movem mikej
893
                                                memaddr <= addr;
894
                                        END IF;
895
                        END IF;
896
                END IF;
897
 
898
                -- if access done, and not aligned, don't increment
899
                addr <= memaddr_reg+memaddr_delta;
900 4 tobiflex
                addr_out <= memaddr_reg + memaddr_delta;
901
 
902 2 tobiflex
                IF use_base='0' THEN
903
                        memaddr_reg <= (others=>'0');
904
                ELSE
905
                        memaddr_reg <= reg_QA;
906
                END IF;
907
    END PROCESS;
908
 
909
-----------------------------------------------------------------------------
910
-- PC Calc + fetch opcode
911
-----------------------------------------------------------------------------
912
PROCESS (clk, IPL, setstate, state, exec_write_back, set_direct_data, next_micro_state, stop, make_trace, make_berr, IPL_nr, FlagsSR, set_rot_cnt, opcode, writePCbig, set_exec, exec,
913 4 tobiflex
        PC_dataa, PC_datab, setnextpass, last_data_read, TG68_PC_brw, TG68_PC_word, Z_error, trap_trap, trap_trapv, interrupt, tmp_TG68_PC, TG68_PC)
914 2 tobiflex
        BEGIN
915
 
916
                PC_dataa <= TG68_PC;
917
                IF TG68_PC_brw = '1' THEN
918
                        PC_dataa <= tmp_TG68_PC;
919
                END IF;
920
 
921
                PC_datab(2 downto 0) <= (others => '0');
922
                PC_datab(3) <= PC_datab(2);
923
                PC_datab(7 downto 4) <= (others => PC_datab(3));
924
                PC_datab(15 downto 8) <= (others => PC_datab(7));
925
                PC_datab(31 downto 16) <= (others => PC_datab(15));
926
                IF interrupt='1' THEN
927
                        PC_datab(2 downto 1) <= "11";
928
                END IF;
929
                IF exec(writePC_add) ='1' THEN
930
                        IF writePCbig='1' THEN
931
                                PC_datab(3) <= '1';
932
                                PC_datab(1) <= '1';
933
                        ELSE
934
                                PC_datab(2) <= '1';
935
                        END IF;
936
                        IF trap_trap='1' OR trap_trapv='1' OR exec(trap_chk)='1' OR Z_error='1' THEN
937
                                PC_datab(1) <= '1';
938
                        END IF;
939
                ELSIF state="00" THEN
940
                        PC_datab(1) <= '1';
941
                END IF;
942
                IF TG68_PC_brw = '1' THEN
943
                        IF TG68_PC_word='1' THEN
944
                                PC_datab <= last_data_read;
945
                        ELSE
946
                                PC_datab(7 downto 0) <= opcode(7 downto 0);
947
                        END IF;
948
                END IF;
949
 
950
                TG68_PC_add <= PC_dataa+PC_datab;
951
 
952
                setopcode <= '0';
953
                setendOPC <= '0';
954
                setinterrupt <= '0';
955
                IF setstate="00" AND next_micro_state=idle AND setnextpass='0' AND (exec_write_back='0' OR state="11") AND set_rot_cnt="000001" AND set_exec(opcCHK)='0'THEN
956
                        setendOPC <= '1';
957
                        IF FlagsSR(2 downto 0)<IPL_nr OR IPL_nr="111"  OR make_trace='1' OR make_berr='1' THEN
958
                                setinterrupt <= '1';
959
                        ELSIF stop='0' THEN
960
                                setopcode <= '1';
961
                        END IF;
962
                END IF;
963
                setexecOPC <= '0';
964
                IF setstate="00" AND next_micro_state=idle AND set_direct_data='0' AND (exec_write_back='0' OR state="10") THEN
965
                        setexecOPC <= '1';
966
                END IF;
967
 
968
                IPL_nr <= NOT IPL;
969
                IF rising_edge(clk) THEN
970 4 tobiflex
                        IF Reset = '1' THEN
971 2 tobiflex
                                state <= "01";
972
                                opcode <= X"2E79";                                      --move $0,a7
973
                                trap_interrupt <= '0';
974
                                interrupt <= '0';
975
                                last_opc_read  <= X"4EF9";                      --jmp nn.l
976
                                TG68_PC <= X"00000004";
977
                                decodeOPC <= '0';
978
                                endOPC <= '0';
979
                                TG68_PC_word <= '0';
980
                                execOPC <= '0';
981
                                stop <= '0';
982
                                rot_cnt <="000001";
983
--                              byte <= '0';
984
--                              IPL_nr <= "000";
985
                                trap_trace <= '0';
986
                                trap_berr <= '0';
987
                                writePCbig <= '0';
988
--                              recall_last <= '0';
989
                                Suppress_Base <= '0';
990
                                make_berr <= '0';
991
                                memmask <= "111111";
992
                        ELSE
993
--                              IPL_nr <= NOT IPL;
994
                                IF clkena_in='1' THEN
995
                                        memmask <= memmask(3 downto 0)&"11";
996
                                        memread <= memread(1 downto 0)&memmaskmux(5 downto 4);
997
--                                      IF wbmemmask(5 downto 4)="11" THEN      
998
--                                              wbmemmask <= memmask;
999
--                                      END IF;
1000
                                        IF exec(directPC)='1' THEN
1001
                                                TG68_PC <= data_read;
1002
                                        ELSIF exec(ea_to_pc)='1' THEN
1003
                                                TG68_PC <= addr;
1004
                                        ELSIF (state ="00" OR TG68_PC_brw = '1') AND stop='0'  THEN
1005
                                                TG68_PC <= TG68_PC_add;
1006
                                        END IF;
1007
                                END IF;
1008
                                IF clkena_lw='1' THEN
1009
                                        interrupt <= setinterrupt;
1010
                                        decodeOPC <= setopcode;
1011
                                        endOPC <= setendOPC;
1012
                                        execOPC <= setexecOPC;
1013
 
1014
                                        exe_datatype <= set_datatype;
1015
                                        exe_opcode <= opcode;
1016
 
1017
                                        if(trap_berr='0') then
1018
                                                make_berr <= (berr OR make_berr);
1019
                                        else
1020
                                                make_berr <= '0';
1021
                                        end if;
1022
 
1023
                                        stop <= set_stop OR (stop AND NOT setinterrupt);
1024
                                        IF setinterrupt='1' THEN
1025
                                                trap_interrupt <= '0';
1026
                                                trap_trace <= '0';
1027
--                                              TG68_PC_word <= '0';
1028
                                                make_berr <= '0';
1029
                                                trap_berr <= '0';
1030
                                                IF make_trace='1' THEN
1031
                                                        trap_trace <= '1';
1032
                                                ELSIF make_berr='1' THEN
1033
                                                        trap_berr <= '1';
1034
                                                ELSE
1035
                                                        rIPL_nr <= IPL_nr;
1036
                                                        IPL_vec <= "00011"&IPL_nr;            --        TH              
1037
                                                        trap_interrupt <= '1';
1038
                                                END IF;
1039
                                        END IF;
1040
                                        IF micro_state=trap0 AND IPL_autovector='0' THEN
1041
                                                IPL_vec <= last_data_read(7 downto 0);    --     TH
1042
                                        END IF;
1043
                                        IF state="00" THEN
1044
                                                last_opc_read <= data_read(15 downto 0);
1045
                                        END IF;
1046
                                        IF setopcode='1' THEN
1047
                                                trap_interrupt <= '0';
1048
                                                trap_trace <= '0';
1049
                                                TG68_PC_word <= '0';
1050
                                                trap_berr <= '0';
1051
                                        ELSIF opcode(7 downto 0)="00000000" OR opcode(7 downto 0)="11111111" OR data_is_source='1' THEN
1052
                                                TG68_PC_word <= '1';
1053
                                        END IF;
1054
 
1055
                                        IF exec(get_bfoffset)='1' THEN
1056
                                                alu_width <= bf_width;
1057
                                                alu_bf_shift <= bf_shift;
1058
                                                alu_bf_loffset <= bf_loffset;
1059
                                                alu_bf_ffo_offset <= bf_full_offset+bf_width+1;
1060
                                        END IF;
1061
                                        memread <= "1111";
1062
                                        FC(1) <= NOT setstate(1) OR (PCbase AND NOT setstate(0));
1063
                                        FC(0) <= setstate(1) AND (NOT PCbase OR setstate(0));
1064
                                        IF interrupt='1' THEN
1065
                                                FC(1 downto 0) <= "11";
1066
                                        END IF;
1067
                                        IF (state="10" AND write_back='1' AND setstate/="10") OR set_rot_cnt/="000001" OR (stop='1' AND interrupt='0') OR set_exec(opcCHK)='1' THEN
1068
                                                state <= "01";
1069
                                                memmask <= "111111";
1070
                                        ELSIF execOPC='1' AND exec_write_back='1' THEN
1071
                                                state <= "11";
1072
                                                FC(1 downto 0) <= "01";
1073
                                                memmask <= wbmemmask;
1074
                                        ELSE
1075
                                                state <= setstate;
1076
                                                IF setstate="01" THEN
1077
                                                        memmask <= "111111";
1078
                                                        wbmemmask <= "111111";
1079
                                                ELSIF exec(get_bfoffset)='1' THEN
1080
                                                        memmask <= set_memmask;
1081
                                                        wbmemmask <= set_memmask;
1082
                                                        oddout <= set_oddout;
1083
                                                ELSIF set(longaktion)='1' THEN
1084
                                                        memmask <= "100001";
1085
                                                        wbmemmask <= "100001";
1086
                                                        oddout <= '0';
1087
                                                ELSIF set_datatype="00" AND setstate(1)='1' THEN
1088
                                                        memmask <= "101111";
1089
                                                        wbmemmask <= "101111";
1090
                                                        IF set(mem_byte)='1' THEN
1091
                                                                oddout <= '0';
1092
                                                        ELSE
1093
                                                                oddout <= '1';
1094
                                                        END IF;
1095
                                                ELSE
1096
                                                        memmask <= "100111";
1097
                                                        wbmemmask <= "100111";
1098
                                                        oddout <= '0';
1099
                                                END IF;
1100
                                        END IF;
1101
 
1102
                                        IF decodeOPC='1' THEN
1103
                                                rot_bits <= set_rot_bits;
1104
                                                writePCbig <= '0';
1105
                                        ELSE
1106
                                                writePCbig <= set_writePCbig OR writePCbig;
1107
                                        END IF;
1108
                                        IF decodeOPC='1' OR exec(ld_rot_cnt)='1' OR rot_cnt/="000001" THEN
1109
                                                rot_cnt <= set_rot_cnt;
1110
                                        END IF;
1111
--                                      IF setstate(1)='1' AND set_datatype="00" THEN
1112
--                                              byte <= '1';
1113
--                                      END IF;
1114
 
1115
                                        IF set_Suppress_Base='1' THEN
1116
                                                Suppress_Base <= '1';
1117
                                        ELSIF setstate(1)='1' OR (ea_only='1' AND set(get_ea_now)='1') THEN
1118
                                                Suppress_Base <= '0';
1119
                                        END IF;
1120
                                        IF getbrief='1' THEN
1121
                                                IF state(1)='1' THEN
1122
                                                        brief <= last_opc_read(15 downto 0);
1123
                                                ELSE
1124
                                                        brief <= data_read(15 downto 0);
1125
                                                END IF;
1126
                                        END IF;
1127
 
1128
                                        IF setopcode='1' AND berr='0' THEN
1129
                                                IF state="00" THEN
1130
                                                        opcode <= data_read(15 downto 0);
1131
                                                ELSE
1132
                                                        opcode <= last_opc_read(15 downto 0);
1133
                                                END IF;
1134
                                                nextpass <= '0';
1135
                                        ELSIF setinterrupt='1' OR setopcode='1' THEN
1136
                                                opcode <= X"4E71";              --nop
1137
                                                nextpass <= '0';
1138
                                        ELSE
1139
--                                              IF setnextpass='1' OR (regdirectsource='1' AND state="00") THEN
1140
                                                IF setnextpass='1' OR regdirectsource='1' THEN
1141
                                                        nextpass <= '1';
1142
                                                END IF;
1143
                                        END IF;
1144
 
1145
                                        IF decodeOPC='1' OR interrupt='1' THEN
1146
                                                trap_SR <= FlagsSR;
1147
                                        END IF;
1148
                                END IF;
1149
                        END IF;
1150
                END IF;
1151
 
1152
                IF rising_edge(clk) THEN
1153 5 tobiflex
                        IF Reset = '1' THEN
1154 2 tobiflex
                                PCbase <= '1';
1155
                        ELSIF clkena_lw='1' THEN
1156
                                PCbase <= set_PCbase OR PCbase;
1157
                                IF setexecOPC='1' OR (state(1)='1' AND movem_run='0') THEN
1158
                                        PCbase <= '0';
1159
                                END IF;
1160
                        END IF;
1161
                        IF clkena_lw='1' THEN
1162
                                exec <= set;
1163
                                exec_tas <= '0';
1164
                                exec(subidx) <= set(presub) or set(subidx);
1165
                                IF setexecOPC='1' THEN
1166
                                        exec <= set_exec OR set;
1167
                                        exec_tas <= set_exec_tas;
1168
                                END IF;
1169
                                exec(get_2ndOPC) <= set(get_2ndOPC) OR setopcode;
1170
                        END IF;
1171
                END IF;
1172
        END PROCESS;
1173
 
1174
------------------------------------------------------------------------------
1175
--prepare Bitfield Parameters
1176
------------------------------------------------------------------------------          
1177
PROCESS (clk, Reset, sndOPC, reg_QA, reg_QB, bf_width, bf_offset, bf_bhits, opcode, setstate, bf_shift)
1178
        BEGIN
1179
                IF sndOPC(11)='1' THEN
1180
                        bf_offset <= '0'&reg_QA(4 downto 0);
1181
                ELSE
1182
                        bf_offset <= '0'&sndOPC(10 downto 6);
1183
                END IF;
1184
                IF sndOPC(11)='1' THEN
1185
                        bf_full_offset <= reg_QA;
1186
                ELSE
1187
                        bf_full_offset <= (others => '0');
1188
                        bf_full_offset(4 downto 0) <= sndOPC(10 downto 6);
1189
                END IF;
1190
 
1191
                bf_width(5) <= '0';
1192
                IF sndOPC(5)='1' THEN
1193
                        bf_width(4 downto 0) <= reg_QB(4 downto 0)-1;
1194
                ELSE
1195
                        bf_width(4 downto 0) <= sndOPC(4 downto 0)-1;
1196
                END IF;
1197
                bf_bhits <= bf_width+bf_offset;
1198
                set_oddout <= NOT bf_bhits(3);
1199
 
1200 4 tobiflex
 
1201
-- bf_loffset is used for the shifted_bitmask
1202 2 tobiflex
                IF opcode(10 downto 8)="111" THEN --INS
1203
                        bf_loffset <= 32-bf_shift;
1204
                ELSE
1205
                        bf_loffset <= bf_shift;
1206
                END IF;
1207
                bf_loffset(5) <= '0';
1208
 
1209
                IF opcode(4 downto 3)="00" THEN
1210
                        IF opcode(10 downto 8)="111" THEN --INS
1211
                                bf_shift <= bf_bhits+1;
1212
                        ELSE
1213
                                bf_shift <= 31-bf_bhits;
1214
                        END IF;
1215
                        bf_shift(5) <= '0';
1216
                ELSE
1217 4 tobiflex
                        IF opcode(10 downto 8)="111" THEN --INS
1218
                                bf_shift <= "011001"+("000"&bf_bhits(2 downto 0));
1219
                                bf_shift(5) <= '0';
1220 2 tobiflex
                        ELSE
1221
                                bf_shift <= "000"&("111"-bf_bhits(2 downto 0));
1222
                        END IF;
1223
                        bf_offset(4 downto 3) <= "00";
1224
                END IF;
1225 4 tobiflex
 
1226
                CASE bf_bhits(5 downto 3) IS
1227
                        WHEN "000" =>
1228
                                set_memmask <= "101111";
1229
                        WHEN "001" =>
1230 2 tobiflex
                                set_memmask <= "100111";
1231 4 tobiflex
                        WHEN "010" =>
1232
                                set_memmask <= "100011";
1233
                        WHEN "011" =>
1234
                                set_memmask <= "100001";
1235
                        WHEN OTHERS =>
1236
                                set_memmask <= "100000";
1237
                END CASE;
1238
                IF setstate="00" THEN
1239
                        set_memmask <= "100111";
1240
                END IF;
1241 2 tobiflex
        END PROCESS;
1242
 
1243
------------------------------------------------------------------------------
1244
--SR op
1245
------------------------------------------------------------------------------          
1246
PROCESS (clk, Reset, FlagsSR, last_data_read, OP2out, exec)
1247
        BEGIN
1248
                IF exec(andiSR)='1' THEN
1249
                        SRin <= FlagsSR AND last_data_read(15 downto 8);
1250
                ELSIF exec(eoriSR)='1' THEN
1251
                        SRin <= FlagsSR XOR last_data_read(15 downto 8);
1252
                ELSIF exec(oriSR)='1' THEN
1253
                        SRin <= FlagsSR OR last_data_read(15 downto 8);
1254
                ELSE
1255
                        SRin <= OP2out(15 downto 8);
1256
                END IF;
1257
 
1258
                IF rising_edge(clk) THEN
1259 4 tobiflex
                        IF Reset='1' THEN
1260 2 tobiflex
                                FlagsSR(5) <= '1';
1261
                                FC(2) <= '1';
1262
                                SVmode <= '1';
1263
                                preSVmode <= '1';
1264 4 tobiflex
                                FlagsSR(3 downto 0) <= "0111";
1265 2 tobiflex
                                make_trace <= '0';
1266
                        ELSIF clkena_lw = '1' THEN
1267
                                IF setopcode='1' THEN
1268
                                        make_trace <= FlagsSR(7);
1269
                                        IF set(changeMode)='1' THEN
1270
                                                SVmode <= NOT SVmode;
1271
                                        ELSE
1272
                                                SVmode <= preSVmode;
1273
                                        END IF;
1274
                                END IF;
1275
                                IF set(changeMode)='1' THEN
1276
                                        preSVmode <= NOT preSVmode;
1277
                                        FlagsSR(5) <= NOT preSVmode;
1278
                                        FC(2) <= NOT preSVmode;
1279
                                END IF;
1280
                                IF micro_state=trap3 THEN
1281
                                        FlagsSR(7) <= '0';
1282
                                END IF;
1283
                                IF trap_trace='1' AND state="10" THEN
1284
                                        make_trace <= '0';
1285
                                END IF;
1286
                                IF exec(directSR)='1' OR set_stop='1' THEN
1287
                                        FlagsSR <= data_read(15 downto 8);
1288
                                END IF;
1289
                                IF interrupt='1' AND trap_interrupt='1' THEN
1290
                                        FlagsSR(2 downto 0) <=rIPL_nr;
1291
                                END IF;
1292
                                IF exec(to_SR)='1' THEN
1293
                                        FlagsSR(7 downto 0) <= SRin;     --SR
1294
                                        FC(2) <= SRin(5);
1295
                                ELSIF exec(update_FC)='1' THEN
1296
                                        FC(2) <= FlagsSR(5);
1297
                                END IF;
1298
                                IF interrupt='1' THEN
1299
                                        FC(2) <= '1';
1300
                                END IF;
1301 4 tobiflex
                                FlagsSR(3) <= '0';
1302 2 tobiflex
                        END IF;
1303
                END IF;
1304
        END PROCESS;
1305
 
1306
-----------------------------------------------------------------------------
1307
-- decode opcode
1308
-----------------------------------------------------------------------------
1309
PROCESS (clk, cpu, OP1out, OP2out, opcode, exe_condition, nextpass, micro_state, decodeOPC, state, setexecOPC, Flags, FlagsSR, direct_data, build_logical,
1310
                 build_bcd, set_Z_error, trapd, movem_run, last_data_read, set, set_V_Flag, z_error, trap_trace, trap_interrupt,
1311
                 SVmode, preSVmode, stop, long_done, ea_only, setstate, execOPC, exec_write_back, exe_datatype,
1312
                 datatype, interrupt, c_out, trapmake, rot_cnt, brief, addr,
1313
                 long_start, set_datatype, sndOPC, set_exec, exec, ea_build_now, reg_QA, reg_QB, make_berr, trap_berr)
1314
        BEGIN
1315
                TG68_PC_brw <= '0';
1316
                setstate <= "00";
1317
                Regwrena_now <= '0';
1318
                movem_presub <= '0';
1319
                setnextpass <= '0';
1320
                regdirectsource <= '0';
1321
                setdisp <= '0';
1322
                setdispbyte <= '0';
1323
                getbrief <= '0';
1324
                dest_areg <= '0';
1325
                source_areg <= '0';
1326
                data_is_source <= '0';
1327
                write_back <= '0';
1328
                setstackaddr <= '0';
1329
                writePC <= '0';
1330
                ea_build_now <= '0';
1331
--              set_rot_bits <= "00";
1332
                set_rot_bits <= opcode(4 downto 3);
1333
                set_rot_cnt <= "000001";
1334
                dest_hbits <= '0';
1335
                source_lowbits <= '0';
1336
                source_2ndHbits <= '0';
1337
                source_2ndLbits <= '0';
1338
                dest_2ndHbits <= '0';
1339
                ea_only <= '0';
1340
                set_direct_data <= '0';
1341
                set_exec_tas <= '0';
1342
                trap_illegal <='0';
1343
                trap_addr_error <= '0';
1344
                trap_priv <='0';
1345
                trap_1010 <='0';
1346
                trap_1111 <='0';
1347
                trap_trap <='0';
1348
                trap_trapv <= '0';
1349
                trapmake <='0';
1350
                set_vectoraddr <='0';
1351
                writeSR <= '0';
1352
                set_stop <= '0';
1353
--              illegal_write_mode <= '0';
1354
--              illegal_read_mode <= '0';
1355
--              illegal_byteaddr <= '0';
1356
                set_Z_error <= '0';
1357
 
1358
                next_micro_state <= idle;
1359
                build_logical <= '0';
1360
                build_bcd <= '0';
1361
                skipFetch <= make_berr;
1362
                set_writePCbig <= '0';
1363
--              set_recall_last <= '0';
1364
                set_Suppress_Base <= '0';
1365
                set_PCbase <= '0';
1366
 
1367
                IF rot_cnt/="000001" THEN
1368
                        set_rot_cnt <= rot_cnt-1;
1369
                END IF;
1370
                set_datatype <= datatype;
1371
 
1372
                set <= (OTHERS=>'0');
1373
                set_exec <= (OTHERS=>'0');
1374
                set(update_ld) <= '0';
1375
--              odd_start <= '0';
1376
------------------------------------------------------------------------------
1377
--Sourcepass
1378
------------------------------------------------------------------------------          
1379
                CASE opcode(7 downto 6) IS
1380
                        WHEN "00" => datatype <= "00";          --Byte
1381
                        WHEN "01" => datatype <= "01";          --Word
1382
                        WHEN OTHERS => datatype <= "10";        --Long
1383
                END CASE;
1384
 
1385
                IF trapmake='1' AND trapd='0' THEN
1386
                        next_micro_state <= trap0;
1387
                        IF VBR_Stackframe=0 OR (cpu(0)='0' AND VBR_Stackframe=2) THEN
1388
                                set(writePC_add) <= '1';
1389
--                              set_datatype <= "10";
1390
                        END IF;
1391
                        IF preSVmode='0' THEN
1392
                                set(changeMode) <= '1';
1393
                        END IF;
1394
                        setstate <= "01";
1395
                END IF;
1396
                IF interrupt='1' AND trap_berr='1' THEN
1397
                        next_micro_state <= trap0;
1398
                        IF preSVmode='0' THEN
1399
                                set(changeMode) <= '1';
1400
                        END IF;
1401
                        setstate <= "01";
1402
                END IF;
1403
                IF micro_state=int1 OR (interrupt='1' AND trap_trace='1') THEN
1404
                        next_micro_state <= trap0;
1405
--                      IF cpu(0)='0' THEN
1406
--                              set_datatype <= "10";
1407
--                      END IF;
1408
                        IF preSVmode='0' THEN
1409
                                set(changeMode) <= '1';
1410
                        END IF;
1411
                        setstate <= "01";
1412
                END IF;
1413
 
1414
                IF setexecOPC='1' AND FlagsSR(5)/=preSVmode THEN
1415
                        set(changeMode) <= '1';
1416
--                      setstate <= "01";
1417
--                      next_micro_state <= nop;
1418
                END IF;
1419
 
1420
                IF interrupt='1' AND trap_interrupt='1'THEN
1421
--                      skipFetch <= '1';
1422
                        next_micro_state <= int1;
1423
                        set(update_ld) <= '1';
1424
                        setstate <= "10";
1425
                END IF;
1426
 
1427
                IF set(changeMode)='1' THEN
1428
                        set(to_USP) <= '1';
1429
                        set(from_USP) <= '1';
1430
                        setstackaddr <='1';
1431
                END IF;
1432
 
1433
                IF ea_only='0' AND set(get_ea_now)='1' THEN
1434
                        setstate <= "10";
1435
--                      set_recall_last <= '1';
1436
--                      set(update_ld) <= '0';
1437
                END IF;
1438
 
1439
                IF setstate(1)='1' AND set_datatype(1)='1' THEN
1440
                        set(longaktion) <= '1';
1441
                END IF;
1442
 
1443
                IF (ea_build_now='1' AND decodeOPC='1') OR exec(ea_build)='1' THEN
1444
                        CASE opcode(5 downto 3) IS              --source
1445
                                WHEN "010"|"011"|"100" =>                                               -- -(An)+
1446
                                        set(get_ea_now) <='1';
1447
                                        setnextpass <= '1';
1448
                                        IF opcode(3)='1' THEN   --(An)+
1449
                                                set(postadd) <= '1';
1450
                                                IF opcode(2 downto 0)="111" THEN
1451
                                                        set(use_SP) <= '1';
1452
                                                END IF;
1453
                                        END IF;
1454
                                        IF opcode(5)='1' THEN   -- -(An)
1455
                                                set(presub) <= '1';
1456
                                                IF opcode(2 downto 0)="111" THEN
1457
                                                        set(use_SP) <= '1';
1458
                                                END IF;
1459
                                        END IF;
1460
                                WHEN "101" =>                           --(d16,An)
1461
                                        next_micro_state <= ld_dAn1;
1462
                                WHEN "110" =>                           --(d8,An,Xn)
1463
                                        next_micro_state <= ld_AnXn1;
1464
                                        getbrief <='1';
1465
                                WHEN "111" =>
1466
                                        CASE opcode(2 downto 0) IS
1467
                                                WHEN "000" =>                           --(xxxx).w
1468
                                                        next_micro_state <= ld_nn;
1469
                                                WHEN "001" =>                           --(xxxx).l
1470
                                                        set(longaktion) <= '1';
1471
                                                        next_micro_state <= ld_nn;
1472
                                                WHEN "010" =>                           --(d16,PC)
1473
                                                        next_micro_state <= ld_dAn1;
1474
                                                        set(dispouter) <= '1';
1475
                                                        set_Suppress_Base <= '1';
1476
                                                        set_PCbase <= '1';
1477
                                                WHEN "011" =>                           --(d8,PC,Xn)
1478
                                                        next_micro_state <= ld_AnXn1;
1479
                                                        getbrief <= '1';
1480
                                                        set(dispouter) <= '1';
1481
                                                        set_Suppress_Base <= '1';
1482
                                                        set_PCbase <= '1';
1483
                                                WHEN "100" =>                           --#data
1484
                                                        setnextpass <= '1';
1485
                                                        set_direct_data <= '1';
1486
                                                        IF datatype="10" THEN
1487
                                                                set(longaktion) <= '1';
1488
                                                        END IF;
1489
                                                WHEN OTHERS => NULL;
1490
                                        END CASE;
1491
                                WHEN OTHERS => NULL;
1492
                        END CASE;
1493
                END IF;
1494
------------------------------------------------------------------------------
1495
--prepere opcode
1496
------------------------------------------------------------------------------          
1497
                CASE opcode(15 downto 12) IS
1498
-- 0000 ----------------------------------------------------------------------------            
1499
                        WHEN "0000" =>
1500
                        IF opcode(8)='1' AND opcode(5 downto 3)="001" THEN --movep
1501
                                datatype <= "00";                               --Byte
1502
                                set(use_SP) <= '1';             --addr+2
1503
                                set(no_Flags) <='1';
1504
                                IF opcode(7)='0' THEN  --to register
1505
                                        set_exec(Regwrena) <= '1';
1506
                                        set_exec(opcMOVE) <= '1';
1507
                                        set(movepl) <= '1';
1508
                                END IF;
1509
                                IF decodeOPC='1' THEN
1510
                                        IF opcode(6)='1' THEN
1511
                                                set(movepl) <= '1';
1512
                                        END IF;
1513
                                        IF opcode(7)='0' THEN
1514
                                                set_direct_data <= '1';         -- to register
1515
                                        END IF;
1516
                                        next_micro_state <= movep1;
1517
                                END IF;
1518
                                IF setexecOPC='1' THEN
1519
                                        dest_hbits <='1';
1520
                                END IF;
1521
                        ELSE
1522
                                IF opcode(8)='1' OR opcode(11 downto 9)="100" THEN              --Bits
1523
                                        set_exec(opcBITS) <= '1';
1524
                                        set_exec(ea_data_OP1) <= '1';
1525
                                        IF opcode(7 downto 6)/="00" THEN
1526
                                                IF opcode(5 downto 4)="00" THEN
1527
                                                        set_exec(Regwrena) <= '1';
1528
                                                END IF;
1529
                                                write_back <= '1';
1530
                                        END IF;
1531
                                        IF opcode(5 downto 4)="00" THEN
1532
                                                datatype <= "10";                       --Long
1533
                                        ELSE
1534
                                                datatype <= "00";                       --Byte
1535
                                        END IF;
1536
                                        IF opcode(8)='0' THEN
1537
                                                IF decodeOPC='1' THEN
1538
                                                        next_micro_state <= nop;
1539
                                                        set(get_2ndOPC) <= '1';
1540
                                                        set(ea_build) <= '1';
1541
                                                END IF;
1542
                                        ELSE
1543
                                                ea_build_now <= '1';
1544
                                        END IF;
1545
                                ELSIF opcode(11 downto 9)="111" THEN            --MOVES not in 68000
1546
                                        trap_illegal <= '1';
1547
--                                      trap_addr_error <= '1';
1548
                                        trapmake <= '1';
1549
                                ELSE                                                            --andi, ...xxxi 
1550
                                        IF opcode(11 downto 9)="000" THEN       --ORI
1551
                                                set_exec(opcOR) <= '1';
1552
                                        END IF;
1553
                                        IF opcode(11 downto 9)="001" THEN       --ANDI
1554
                                                set_exec(opcAND) <= '1';
1555
                                        END IF;
1556
                                        IF opcode(11 downto 9)="010" OR opcode(11 downto 9)="011" THEN  --SUBI, ADDI
1557
                                                set_exec(opcADD) <= '1';
1558
                                        END IF;
1559
                                        IF opcode(11 downto 9)="101" THEN       --EORI
1560
                                                set_exec(opcEOR) <= '1';
1561
                                        END IF;
1562
                                        IF opcode(11 downto 9)="110" THEN       --CMPI
1563
                                                set_exec(opcCMP) <= '1';
1564
                                        END IF;
1565
                                        IF opcode(7)='0' AND opcode(5 downto 0)="111100" AND (set_exec(opcAND) OR set_exec(opcOR) OR set_exec(opcEOR))='1' THEN           --SR
1566
                                                IF decodeOPC='1' AND SVmode='0' AND opcode(6)='1' THEN  --SR
1567
                                                        trap_priv <= '1';
1568
                                                        trapmake <= '1';
1569
                                                ELSE
1570
                                                        set(no_Flags) <= '1';
1571
                                                        IF decodeOPC='1' THEN
1572
                                                                IF opcode(6)='1' THEN
1573
                                                                        set(to_SR) <= '1';
1574
                                                                END IF;
1575
                                                                set(to_CCR) <= '1';
1576
                                                                set(andiSR) <= set_exec(opcAND);
1577
                                                                set(eoriSR) <= set_exec(opcEOR);
1578
                                                                set(oriSR) <= set_exec(opcOR);
1579
                                                                setstate <= "01";
1580
                                                                next_micro_state <= nopnop;
1581
                                                        END IF;
1582
                                                END IF;
1583
                                        ELSE
1584
                                                IF decodeOPC='1' THEN
1585
                                                        next_micro_state <= andi;
1586
                                                        set(get_2ndOPC) <='1';
1587
                                                        set(ea_build) <= '1';
1588
                                                        set_direct_data <= '1';
1589
                                                        IF datatype="10" THEN
1590
                                                                set(longaktion) <= '1';
1591
                                                        END IF;
1592
                                                END IF;
1593
                                                IF opcode(5 downto 4)/="00" THEN
1594
                                                        set_exec(ea_data_OP1) <= '1';
1595
                                                END IF;
1596
                                                IF opcode(11 downto 9)/="110" THEN      --CMPI 
1597
                                                        IF opcode(5 downto 4)="00" THEN
1598
                                                                set_exec(Regwrena) <= '1';
1599
                                                        END IF;
1600
                                                        write_back <= '1';
1601
                                                END IF;
1602
                                                IF opcode(10 downto 9)="10" THEN        --CMPI, SUBI
1603
                                                        set(addsub) <= '1';
1604
                                                END IF;
1605
                                        END IF;
1606
                                END IF;
1607
                        END IF;
1608
 
1609
-- 0001, 0010, 0011 -----------------------------------------------------------------           
1610
                        WHEN "0001"|"0010"|"0011" =>                            --move.b, move.l, move.w
1611
                                set_exec(opcMOVE) <= '1';
1612
                                ea_build_now <= '1';
1613
                                IF opcode(8 downto 6)="001" THEN
1614
                                        set(no_Flags) <= '1';
1615
                                END IF;
1616
                                IF opcode(5 downto 4)="00" THEN --Dn, An
1617
                                        IF opcode(8 downto 7)="00" THEN
1618
                                                set_exec(Regwrena) <= '1';
1619
                                        END IF;
1620
                                END IF;
1621
                                CASE opcode(13 downto 12) IS
1622
                                        WHEN "01" => datatype <= "00";          --Byte
1623
                                        WHEN "10" => datatype <= "10";          --Long
1624
                                        WHEN OTHERS => datatype <= "01";        --Word
1625
                                END CASE;
1626
                                source_lowbits <= '1';                                  -- Dn=>  An=>
1627
                                IF opcode(3)='1' THEN
1628
                                        source_areg <= '1';
1629
                                END IF;
1630
 
1631
                                IF nextpass='1' OR opcode(5 downto 4)="00" THEN
1632
                                        dest_hbits <= '1';
1633
                                        IF opcode(8 downto 6)/="000" THEN
1634
                                                dest_areg <= '1';
1635
                                        END IF;
1636
                                END IF;
1637
--                              IF setstate="10" THEN
1638
--                                      set(update_ld) <= '0';
1639
--                              END IF;
1640
--
1641
                                IF micro_state=idle AND (nextpass='1' OR (opcode(5 downto 4)="00" AND decodeOPC='1')) THEN
1642
                                        CASE opcode(8 downto 6) IS              --destination
1643
                                                WHEN "000"|"001" =>                                             --Dn,An
1644
                                                                set_exec(Regwrena) <= '1';
1645
                                                WHEN "010"|"011"|"100" =>                                       --destination -(an)+
1646
                                                        IF opcode(6)='1' THEN   --(An)+
1647
                                                                set(postadd) <= '1';
1648
                                                                IF opcode(11 downto 9)="111" THEN
1649
                                                                        set(use_SP) <= '1';
1650
                                                                END IF;
1651
                                                        END IF;
1652
                                                        IF opcode(8)='1' THEN   -- -(An)
1653
                                                                set(presub) <= '1';
1654
                                                                IF opcode(11 downto 9)="111" THEN
1655
                                                                        set(use_SP) <= '1';
1656
                                                                END IF;
1657
                                                        END IF;
1658
                                                        setstate <= "11";
1659
                                                        next_micro_state <= nop;
1660
                                                        IF nextpass='0' THEN
1661
                                                                set(write_reg) <= '1';
1662
                                                        END IF;
1663
                                                WHEN "101" =>                           --(d16,An)
1664
                                                        next_micro_state <= st_dAn1;
1665
--                                                      getbrief <= '1';
1666
                                                WHEN "110" =>                           --(d8,An,Xn)
1667
                                                        next_micro_state <= st_AnXn1;
1668
                                                        getbrief <= '1';
1669
                                                WHEN "111" =>
1670
                                                        CASE opcode(11 downto 9) IS
1671
                                                                WHEN "000" =>                           --(xxxx).w
1672
                                                                        next_micro_state <= st_nn;
1673
                                                                WHEN "001" =>                           --(xxxx).l
1674
                                                                        set(longaktion) <= '1';
1675
                                                                        next_micro_state <= st_nn;
1676
                                                                WHEN OTHERS => NULL;
1677
                                                        END CASE;
1678
                                                WHEN OTHERS => NULL;
1679
                                        END CASE;
1680
                                END IF;
1681
---- 0100 ----------------------------------------------------------------------------          
1682
                        WHEN "0100" =>                          --rts_group
1683
                                IF opcode(8)='1' THEN           --lea
1684
                                        IF opcode(6)='1' THEN           --lea
1685
                                                IF opcode(7)='1' THEN
1686
                                                        source_lowbits <= '1';
1687
--                                                      IF opcode(5 downto 3)="000" AND opcode(10)='0' THEN             --ext
1688
                                                        IF opcode(5 downto 4)="00" THEN         --extb.l
1689
                                                                set_exec(opcEXT) <= '1';
1690
                                                                set_exec(opcMOVE) <= '1';
1691
                                                                set_exec(Regwrena) <= '1';
1692
--                                                              IF opcode(6)='0' THEN
1693
--                                                                      datatype <= "01";               --WORD
1694
--                                                              END IF;
1695
                                                        ELSE
1696
                                                                source_areg <= '1';
1697
                                                                ea_only <= '1';
1698
                                                                set_exec(Regwrena) <= '1';
1699
                                                                set_exec(opcMOVE) <='1';
1700
                                                                set(no_Flags) <='1';
1701
                                                                IF opcode(5 downto 3)="010" THEN        --lea (Am),An
1702
                                                                        dest_areg <= '1';
1703
                                                                        dest_hbits <= '1';
1704
                                                                ELSE
1705
                                                                        ea_build_now <= '1';
1706
                                                                END IF;
1707
                                                                IF set(get_ea_now)='1' THEN
1708
                                                                        setstate <= "01";
1709
                                                                        set_direct_data <= '1';
1710
                                                                END IF;
1711
                                                                IF setexecOPC='1' THEN
1712
                                                                        dest_areg <= '1';
1713
                                                                        dest_hbits <= '1';
1714
                                                                END IF;
1715
                                                        END IF;
1716
                                                ELSE
1717
                                                        trap_illegal <= '1';
1718
                                                        trapmake <= '1';
1719
                                                END IF;
1720
                                        ELSE                                                            --chk
1721
                                                IF opcode(7)='1' THEN
1722
                                                        datatype <= "01";       --Word
1723
                                                                set(trap_chk) <= '1';
1724
                                                        IF (c_out(1)='0' OR OP1out(15)='1' OR OP2out(15)='1') AND exec(opcCHK)='1' THEN
1725
                                                                trapmake <= '1';
1726
                                                        END IF;
1727
                                                ELSIF cpu(1)='1' THEN   --chk long for 68020
1728
                                                        datatype <= "10";       --Long
1729
                                                                set(trap_chk) <= '1';
1730
                                                        IF (c_out(2)='1' OR OP1out(31)='1' OR OP2out(31)='1') AND exec(opcCHK)='1' THEN
1731
                                                                trapmake <= '1';
1732
                                                        END IF;
1733
                                                ELSE
1734
                                                        trap_illegal <= '1';            -- chk long for 68020
1735
                                                        trapmake <= '1';
1736
                                                END IF;
1737
                                                IF opcode(7)='1' OR cpu(1)='1' THEN
1738
                                                        IF (nextpass='1' OR opcode(5 downto 4)="00") AND exec(opcCHK)='0' AND micro_state=idle THEN
1739
                                                                set_exec(opcCHK) <= '1';
1740
                                                        END IF;
1741
                                                        ea_build_now <= '1';
1742
                                                        set(addsub) <= '1';
1743
                                                        IF setexecOPC='1' THEN
1744
                                                                dest_hbits <= '1';
1745
                                                                source_lowbits <='1';
1746
                                                        END IF;
1747
                                                END IF;
1748
                                        END IF;
1749
                                ELSE
1750
                                        CASE opcode(11 downto 9) IS
1751
                                                WHEN "000"=>
1752
                                                        IF opcode(7 downto 6)="11" THEN                                 --move from SR
1753
                                                                IF SR_Read=0 OR (cpu(0)='0' AND SR_Read=2) OR SVmode='1'  THEN
1754
                                                                        ea_build_now <= '1';
1755
                                                                        set_exec(opcMOVESR) <= '1';
1756
                                                                        datatype <= "01";
1757
                                                                        write_back <='1';                                                       -- im 68000 wird auch erst gelesen
1758
                                                                        IF cpu(0)='1' AND state="10" THEN
1759
                                                                                skipFetch <= '1';
1760
                                                                        END IF;
1761
                                                                        IF opcode(5 downto 4)="00" THEN
1762
                                                                                set_exec(Regwrena) <= '1';
1763
                                                                        END IF;
1764
                                                                ELSE
1765
                                                                        trap_priv <= '1';
1766
                                                                        trapmake <= '1';
1767
                                                                END IF;
1768
                                                        ELSE                                                                    --negx
1769
                                                                ea_build_now <= '1';
1770
                                                                set_exec(use_XZFlag) <= '1';
1771
                                                                write_back <='1';
1772
                                                                set_exec(opcADD) <= '1';
1773
                                                                set(addsub) <= '1';
1774
                                                                source_lowbits <= '1';
1775
                                                                IF opcode(5 downto 4)="00" THEN
1776
                                                                        set_exec(Regwrena) <= '1';
1777
                                                                END IF;
1778
                                                                IF setexecOPC='1' THEN
1779
                                                                        set(OP1out_zero) <= '1';
1780
                                                                END IF;
1781
                                                        END IF;
1782
                                                WHEN "001"=>
1783
                                                        IF opcode(7 downto 6)="11" THEN                                 --move from CCR 68010
1784
                                                                IF SR_Read=1 OR (cpu(0)='1' AND SR_Read=2) THEN
1785
                                                                        ea_build_now <= '1';
1786
                                                                        set_exec(opcMOVESR) <= '1';
1787
                                                                        datatype <= "01";
1788
                                                                        write_back <='1';                                                       -- im 68000 wird auch erst gelesen
1789
--                                                                      IF state="10" THEN
1790
--                                                                              skipFetch <= '1';
1791
--                                                                      END IF;
1792
                                                                        IF opcode(5 downto 4)="00" THEN
1793
                                                                                set_exec(Regwrena) <= '1';
1794
                                                                        END IF;
1795
                                                                ELSE
1796
                                                                        trap_illegal <= '1';
1797
                                                                        trapmake <= '1';
1798
                                                                END IF;
1799
                                                        ELSE                                                                                    --clr
1800
                                                                ea_build_now <= '1';
1801
                                                                write_back <='1';
1802
                                                                set_exec(opcAND) <= '1';
1803
                                                        IF cpu(0)='1' AND state="10" THEN
1804
                                                                skipFetch <= '1';
1805
                                                        END IF;
1806
                                                                IF setexecOPC='1' THEN
1807
                                                                        set(OP1out_zero) <= '1';
1808
                                                                END IF;
1809
                                                                IF opcode(5 downto 4)="00" THEN
1810
                                                                        set_exec(Regwrena) <= '1';
1811
                                                                END IF;
1812
                                                        END IF;
1813
                                                WHEN "010"=>
1814
                                                        ea_build_now <= '1';
1815
                                                        IF opcode(7 downto 6)="11" THEN                                 --move to CCR
1816
                                                                datatype <= "01";
1817
                                                                source_lowbits <= '1';
1818
                                                                IF (decodeOPC='1' AND opcode(5 downto 4)="00") OR state="10" OR direct_data='1' THEN
1819
                                                                        set(to_CCR) <= '1';
1820
                                                                END IF;
1821
                                                        ELSE                                                                                    --neg
1822
                                                                write_back <='1';
1823
                                                                set_exec(opcADD) <= '1';
1824
                                                                set(addsub) <= '1';
1825
                                                                source_lowbits <= '1';
1826
                                                                IF opcode(5 downto 4)="00" THEN
1827
                                                                        set_exec(Regwrena) <= '1';
1828
                                                                END IF;
1829
                                                                IF setexecOPC='1' THEN
1830
                                                                        set(OP1out_zero) <= '1';
1831
                                                                END IF;
1832
                                                        END IF;
1833
                                                WHEN "011"=>                                                                            --not, move toSR
1834
                                                        IF opcode(7 downto 6)="11" THEN                                 --move to SR
1835
                                                                IF SVmode='1' THEN
1836
                                                                        ea_build_now <= '1';
1837
                                                                        datatype <= "01";
1838
                                                                        source_lowbits <= '1';
1839
                                                                        IF (decodeOPC='1' AND opcode(5 downto 4)="00") OR state="10" OR direct_data='1' THEN
1840
                                                                                set(to_SR) <= '1';
1841
                                                                                set(to_CCR) <= '1';
1842
                                                                        END IF;
1843
                                                                        IF exec(to_SR)='1' OR (decodeOPC='1' AND opcode(5 downto 4)="00") OR state="10" OR direct_data='1' THEN
1844
                                                                                setstate <="01";
1845
                                                                        END IF;
1846
                                                                ELSE
1847
                                                                        trap_priv <= '1';
1848
                                                                        trapmake <= '1';
1849
                                                                END IF;
1850
                                                        ELSE                                                                                    --not
1851
                                                                ea_build_now <= '1';
1852
                                                                write_back <='1';
1853
                                                                set_exec(opcEOR) <= '1';
1854
                                                                set_exec(ea_data_OP1) <= '1';
1855
                                                                IF opcode(5 downto 3)="000" THEN
1856
                                                                        set_exec(Regwrena) <= '1';
1857
                                                                END IF;
1858
                                                                IF setexecOPC='1' THEN
1859
                                                                        set(OP2out_one) <= '1';
1860
                                                                END IF;
1861
                                                        END IF;
1862
                                                WHEN "100"|"110"=>
1863
                                                        IF opcode(7)='1' THEN                   --movem, ext
1864
                                                                IF opcode(5 downto 3)="000" AND opcode(10)='0' THEN              --ext
1865
                                                                        source_lowbits <= '1';
1866
                                                                        set_exec(opcEXT) <= '1';
1867
                                                                        set_exec(opcMOVE) <= '1';
1868
                                                                        set_exec(Regwrena) <= '1';
1869
                                                                        IF opcode(6)='0' THEN
1870
                                                                                datatype <= "01";               --WORD
1871
                                                                        END IF;
1872
                                                                ELSE                                                                                                    --movem
1873
--                                                              IF opcode(11 downto 7)="10001" OR opcode(11 downto 7)="11001" THEN      --MOVEM
1874
                                                                        ea_only <= '1';
1875
                                                                        set(no_Flags) <= '1';
1876
                                                                        IF opcode(6)='0' THEN
1877
                                                                                datatype <= "01";               --Word transfer
1878
                                                                        END IF;
1879
                                                                        IF (opcode(5 downto 3)="100" OR opcode(5 downto 3)="011") AND state="01" THEN   -- -(An), (An)+
1880
                                                                                set_exec(save_memaddr) <= '1';
1881
                                                                                set_exec(Regwrena) <= '1';
1882
                                                                        END IF;
1883
                                                                        IF opcode(5 downto 3)="100" THEN        -- -(An)
1884
                                                                                movem_presub <= '1';
1885
                                                                                set(subidx) <= '1';
1886
                                                                        END IF;
1887
                                                                        IF state="10" THEN
1888
                                                                                set(Regwrena) <= '1';
1889
                                                                                set(opcMOVE) <= '1';
1890
                                                                        END IF;
1891
                                                                        IF decodeOPC='1' THEN
1892
                                                                                set(get_2ndOPC) <='1';
1893
                                                                                IF opcode(5 downto 3)="010" OR opcode(5 downto 3)="011" OR opcode(5 downto 3)="100" THEN
1894
                                                                                        next_micro_state <= movem1;
1895
                                                                                ELSE
1896
                                                                                        next_micro_state <= nop;
1897
                                                                                        set(ea_build) <= '1';
1898
                                                                                END IF;
1899
                                                                        END IF;
1900
                                                                        IF set(get_ea_now)='1' THEN
1901
                                                                                IF movem_run='1' THEN
1902
                                                                                        set(movem_action) <= '1';
1903
                                                                                        IF opcode(10)='0' THEN
1904
                                                                                                setstate <="11";
1905
                                                                                                set(write_reg) <= '1';
1906
                                                                                        ELSE
1907
                                                                                                setstate <="10";
1908
                                                                                        END IF;
1909
                                                                                        next_micro_state <= movem2;
1910
                                                                                        set(mem_addsub) <= '1';
1911
                                                                                ELSE
1912
                                                                                        setstate <="01";
1913
                                                                                END IF;
1914
                                                                        END IF;
1915
                                                                END IF;
1916
                                                        ELSE
1917
                                                                IF opcode(10)='1' THEN                                          --MUL.L, DIV.L 68020
1918
         --FPGA Multiplier for long                                                     
1919
                                                                        IF MUL_Hardware=1 AND (opcode(6)='0' AND (MUL_Mode=1 OR (cpu(1)='1' AND MUL_Mode=2))) THEN
1920
                                                                                IF decodeOPC='1' THEN
1921
                                                                                        next_micro_state <= nop;
1922
                                                                                        set(get_2ndOPC) <= '1';
1923
                                                                                        set(ea_build) <= '1';
1924
                                                                                END IF;
1925
                                                                                IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND exec(ea_build)='1') THEN
1926
                                                                                        dest_2ndHbits <= '1';
1927
                                                                                        datatype <= "10";
1928
                                                                                        set(opcMULU) <= '1';
1929
                                                                                        set(write_lowlong) <= '1';
1930
                                                                                        IF sndOPC(10)='1' THEN
1931
                                                                                                setstate <="01";
1932
                                                                                                next_micro_state <= mul_end2;
1933
                                                                                        END IF;
1934
                                                                                        set(Regwrena) <= '1';
1935
                                                                                END IF;
1936
                                                                                source_lowbits <='1';
1937
                                                                                datatype <= "10";
1938
 
1939
         --no FPGA Multplier                                            
1940
                                                                        ELSIF (opcode(6)='1' AND (DIV_Mode=1 OR (cpu(1)='1' AND DIV_Mode=2))) OR
1941
                                                                           (opcode(6)='0' AND (MUL_Mode=1 OR (cpu(1)='1' AND MUL_Mode=2))) THEN
1942
                                                                                IF decodeOPC='1' THEN
1943
                                                                                        next_micro_state <= nop;
1944
                                                                                        set(get_2ndOPC) <= '1';
1945
                                                                                        set(ea_build) <= '1';
1946
                                                                                END IF;
1947
                                                                                IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND exec(ea_build)='1')THEN
1948
                                                                                        setstate <="01";
1949
                                                                                        dest_2ndHbits <= '1';
1950
                                                                                        source_2ndLbits <= '1';
1951
                                                                                        IF opcode(6)='1' THEN
1952
                                                                                                next_micro_state <= div1;
1953
                                                                                        ELSE
1954
                                                                                                next_micro_state <= mul1;
1955
                                                                                                set(ld_rot_cnt) <= '1';
1956
                                                                                        END IF;
1957
                                                                                END IF;
1958
                                                                                IF z_error='0' AND set_V_Flag='0' AND set(opcDIVU)='1' THEN
1959
                                                                                        set(Regwrena) <= '1';
1960
                                                                                END IF;
1961
                                                                                source_lowbits <='1';
1962
                                                                                IF nextpass='1' OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
1963
                                                                                        dest_hbits <= '1';
1964
                                                                                END IF;
1965
                                                                                datatype <= "10";
1966
                                                                        ELSE
1967
                                                                                trap_illegal <= '1';
1968
                                                                                trapmake <= '1';
1969
                                                                        END IF;
1970
 
1971
                                                                ELSE                                                    --pea, swap
1972
                                                                        IF opcode(6)='1' THEN
1973
                                                                                datatype <= "10";
1974
                                                                                IF opcode(5 downto 3)="000" THEN                --swap
1975
                                                                                        set_exec(opcSWAP) <= '1';
1976
                                                                                        set_exec(Regwrena) <= '1';
1977
                                                                                ELSIF opcode(5 downto 3)="001" THEN             --bkpt
1978
 
1979
                                                                                ELSE                                                                    --pea
1980
                                                                                        ea_only <= '1';
1981
                                                                                        ea_build_now <= '1';
1982
                                                                                        IF nextpass='1' AND micro_state=idle THEN
1983
                                                                                                set(presub) <= '1';
1984
                                                                                                setstackaddr <='1';
1985
                                                                                                setstate <="11";
1986
                                                                                                next_micro_state <= nop;
1987
                                                                                        END IF;
1988
                                                                                        IF set(get_ea_now)='1' THEN
1989
                                                                                                setstate <="01";
1990
                                                                                        END IF;
1991
                                                                                END IF;
1992
                                                                        ELSE
1993
                                                                                IF opcode(5 downto 3)="001" THEN --link.l
1994
                                                                                        datatype <= "10";
1995
                                                                                        set_exec(opcADD) <= '1';                                                --for displacement
1996
                                                                                        set_exec(Regwrena) <= '1';
1997
                                                                                        set(no_Flags) <= '1';
1998
                                                                                        IF decodeOPC='1' THEN
1999
                                                                                                set(linksp) <= '1';
2000
                                                                                                set(longaktion) <= '1';
2001
                                                                                                next_micro_state <= link1;
2002
                                                                                                set(presub) <= '1';
2003
                                                                                                setstackaddr <='1';
2004
                                                                                                set(mem_addsub) <= '1';
2005
                                                                                                source_lowbits <= '1';
2006
                                                                                                source_areg <= '1';
2007
                                                                                                set(store_ea_data) <= '1';
2008
                                                                                        END IF;
2009
                                                                                ELSE                                            --nbcd  
2010
                                                                                        ea_build_now <= '1';
2011
                                                                                        set_exec(use_XZFlag) <= '1';
2012
                                                                                        write_back <='1';
2013
                                                                                        set_exec(opcADD) <= '1';
2014
                                                                                        set_exec(opcSBCD) <= '1';
2015
                                                                                        set(addsub) <= '1';
2016
                                                                                        source_lowbits <= '1';
2017
                                                                                        IF opcode(5 downto 4)="00" THEN
2018
                                                                                                set_exec(Regwrena) <= '1';
2019
                                                                                        END IF;
2020
                                                                                        IF setexecOPC='1' THEN
2021
                                                                                                set(OP1out_zero) <= '1';
2022
                                                                                        END IF;
2023
                                                                                END IF;
2024
                                                                        END IF;
2025
                                                                END IF;
2026
                                                        END IF;
2027
--0x4AXX                                                        
2028
                                                WHEN "101"=>                                            --tst, tas  4aFC - illegal
2029
--                                                      IF opcode(7 downto 2)="111111" THEN   --illegal
2030
                                                        IF opcode(7 downto 3)="11111" AND opcode(2 downto 1)/="00" THEN   --0x4AFC illegal  --0x4AFB BKP Sinclair QL
2031
                                                                trap_illegal <= '1';
2032
                                                                trapmake <= '1';
2033
                                                        ELSE
2034
                                                                ea_build_now <= '1';
2035
                                                                IF setexecOPC='1' THEN
2036
                                                                        source_lowbits <= '1';
2037
                                                                        IF opcode(3)='1' THEN                   --MC68020...
2038
                                                                                source_areg <= '1';
2039
                                                                        END IF;
2040
                                                                END IF;
2041
                                                                set_exec(opcMOVE) <= '1';
2042
                                                                IF opcode(7 downto 6)="11" THEN         --tas
2043
                                                                        set_exec_tas <= '1';
2044
                                                                        write_back <= '1';
2045
                                                                        datatype <= "00";                               --Byte
2046
                                                                        IF opcode(5 downto 4)="00" THEN
2047
                                                                                set_exec(Regwrena) <= '1';
2048
                                                                        END IF;
2049
                                                                END IF;
2050
                                                        END IF;
2051
----                                            WHEN "110"=>
2052
                                                WHEN "111"=>                                    --4EXX
2053
--
2054
--                                                                                      ea_only <= '1';
2055
--                                                                                      ea_build_now <= '1';
2056
--                                                                                      IF nextpass='1' AND micro_state=idle THEN
2057
--                                                                                              set(presub) <= '1';
2058
--                                                                                              setstackaddr <='1';
2059
--                                                                                              set(mem_addsub) <= '1';
2060
--                                                                                              setstate <="11";
2061
--                                                                                              next_micro_state <= nop;
2062
--                                                                                      END IF;
2063
--                                                                                      IF set(get_ea_now)='1' THEN
2064
--                                                                                              setstate <="01";
2065
--                                                                                      END IF;
2066
--                                                              
2067
 
2068
 
2069
 
2070
                                                        IF opcode(7)='1' THEN           --jsr, jmp
2071
                                                                datatype <= "10";
2072
                                                                ea_only <= '1';
2073
                                                                ea_build_now <= '1';
2074
                                                                IF exec(ea_to_pc)='1' THEN
2075
                                                                        next_micro_state <= nop;
2076
                                                                END IF;
2077
                                                                IF nextpass='1' AND micro_state=idle AND opcode(6)='0' THEN
2078
                                                                        set(presub) <= '1';
2079
                                                                        setstackaddr <='1';
2080
                                                                        setstate <="11";
2081
                                                                        next_micro_state <= nopnop;
2082
                                                                END IF;
2083
-- achtung buggefahr                                                            
2084
                                                                IF micro_state=ld_AnXn1 AND brief(8)='0'THEN                     --JMP/JSR n(Ax,Dn)
2085
                                                                        skipFetch <= '1';
2086
                                                                END IF;
2087
                                                                IF state="00" THEN
2088
                                                                        writePC <= '1';
2089
                                                                END IF;
2090
                                                                set(hold_dwr) <= '1';
2091
                                                                IF set(get_ea_now)='1' THEN                                     --jsr
2092
                                                                        IF exec(longaktion)='0' OR long_done='1' THEN
2093
                                                                                skipFetch <= '1';
2094
                                                                        END IF;
2095
                                                                        setstate <="01";
2096
                                                                        set(ea_to_pc) <= '1';
2097
                                                                END IF;
2098
                                                        ELSE                                            --
2099
                                                                CASE opcode(6 downto 0) IS
2100
                                                                        WHEN "1000000"|"1000001"|"1000010"|"1000011"|"1000100"|"1000101"|"1000110"|"1000111"|           --trap
2101
                                                                             "1001000"|"1001001"|"1001010"|"1001011"|"1001100"|"1001101"|"1001110"|"1001111" =>         --trap
2102
                                                                                        trap_trap <='1';
2103
                                                                                        trapmake <= '1';
2104
                                                                        WHEN "1010000"|"1010001"|"1010010"|"1010011"|"1010100"|"1010101"|"1010110"|"1010111"=>          --link word
2105
                                                                                datatype <= "10";
2106
                                                                                set_exec(opcADD) <= '1';                                                --for displacement
2107
                                                                                set_exec(Regwrena) <= '1';
2108
                                                                                set(no_Flags) <= '1';
2109
                                                                                IF decodeOPC='1' THEN
2110
                                                                                        next_micro_state <= link1;
2111
                                                                                        set(presub) <= '1';
2112
                                                                                        setstackaddr <='1';
2113
                                                                                        set(mem_addsub) <= '1';
2114
                                                                                        source_lowbits <= '1';
2115
                                                                                        source_areg <= '1';
2116
                                                                                        set(store_ea_data) <= '1';
2117
                                                                                END IF;
2118
 
2119
                                                                        WHEN "1011000"|"1011001"|"1011010"|"1011011"|"1011100"|"1011101"|"1011110"|"1011111" =>         --unlink
2120
                                                                                datatype <= "10";
2121
                                                                                set_exec(Regwrena) <= '1';
2122
                                                                                set_exec(opcMOVE) <= '1';
2123
                                                                                set(no_Flags) <= '1';
2124
                                                                                IF decodeOPC='1' THEN
2125
                                                                                        setstate <= "01";
2126
                                                                                        next_micro_state <= unlink1;
2127
                                                                                        set(opcMOVE) <= '1';
2128
                                                                                        set(Regwrena) <= '1';
2129
                                                                                        setstackaddr <='1';
2130
                                                                                        source_lowbits <= '1';
2131
                                                                                        source_areg <= '1';
2132
                                                                                END IF;
2133
 
2134
                                                                        WHEN "1100000"|"1100001"|"1100010"|"1100011"|"1100100"|"1100101"|"1100110"|"1100111" =>         --move An,USP
2135
                                                                                IF SVmode='1' THEN
2136
--                                                                                      set(no_Flags) <= '1';
2137
                                                                                        set(to_USP) <= '1';
2138
                                                                                        source_lowbits <= '1';
2139
                                                                                        source_areg <= '1';
2140
                                                                                        datatype <= "10";
2141
                                                                                ELSE
2142
                                                                                        trap_priv <= '1';
2143
                                                                                        trapmake <= '1';
2144
                                                                                END IF;
2145
                                                                        WHEN "1101000"|"1101001"|"1101010"|"1101011"|"1101100"|"1101101"|"1101110"|"1101111" =>         --move USP,An
2146
                                                                                IF SVmode='1' THEN
2147
--                                                                                      set(no_Flags) <= '1';
2148
                                                                                        set(from_USP) <= '1';
2149
                                                                                        datatype <= "10";
2150
                                                                                        set_exec(Regwrena) <= '1';
2151
                                                                                ELSE
2152
                                                                                        trap_priv <= '1';
2153
                                                                                        trapmake <= '1';
2154
                                                                                END IF;
2155
 
2156
                                                                        WHEN "1110000" =>                                       --reset
2157
                                                                                IF SVmode='0' THEN
2158
                                                                                        trap_priv <= '1';
2159
                                                                                        trapmake <= '1';
2160
                                                                                ELSE
2161
                                                                                        set(opcRESET) <= '1';
2162
                                                                                        IF decodeOPC='1' THEN
2163
                                                                                                set(ld_rot_cnt) <= '1';
2164
                                                                                                set_rot_cnt <= "000000";
2165
                                                                                        END IF;
2166
                                                                                END IF;
2167
 
2168
                                                                        WHEN "1110001" =>                                       --nop
2169
 
2170
                                                                        WHEN "1110010" =>                                       --stop
2171
                                                                                IF SVmode='0' THEN
2172
                                                                                        trap_priv <= '1';
2173
                                                                                        trapmake <= '1';
2174
                                                                                ELSE
2175
                                                                                        IF decodeOPC='1' THEN
2176
                                                                                                setnextpass <= '1';
2177
                                                                                                set_stop <= '1';
2178
                                                                                        END IF;
2179
                                                                                        IF stop='1' THEN
2180
                                                                                                skipFetch <= '1';
2181
                                                                                        END IF;
2182
 
2183
                                                                                END IF;
2184
 
2185
                                                                        WHEN "1110011"|"1110111" =>                                                                     --rte/rtr
2186
                                                                                IF SVmode='1' OR opcode(2)='1' THEN
2187
                                                                                        IF decodeOPC='1' THEN
2188
                                                                                                setstate <= "10";
2189
                                                                                                set(postadd) <= '1';
2190
                                                                                                setstackaddr <= '1';
2191
                                                                                                IF opcode(2)='1' THEN
2192
                                                                                                        set(directCCR) <= '1';
2193
                                                                                                ELSE
2194
                                                                                                        set(directSR) <= '1';
2195
                                                                                                END IF;
2196
                                                                                                next_micro_state <= rte1;
2197
                                                                                        END IF;
2198
                                                                                ELSE
2199
                                                                                        trap_priv <= '1';
2200
                                                                                        trapmake <= '1';
2201
                                                                                END IF;
2202
 
2203
                                                                        WHEN "1110100" =>                                                                       --rtd
2204
                                                                                datatype <= "10";
2205
                                                                                IF decodeOPC='1' THEN
2206
                                                                                        setstate <= "10";
2207
                                                                                        set(postadd) <= '1';
2208
                                                                                        setstackaddr <= '1';
2209
                                                                                        set(direct_delta) <= '1';
2210
                                                                                        set(directPC) <= '1';
2211
                                                                                        set_direct_data <= '1';
2212
                                                                                        next_micro_state <= rtd1;
2213
                                                                                END IF;
2214
 
2215
 
2216
                                                                        WHEN "1110101" =>                                                                       --rts
2217
                                                                                datatype <= "10";
2218
                                                                                IF decodeOPC='1' THEN
2219
                                                                                        setstate <= "10";
2220
                                                                                        set(postadd) <= '1';
2221
                                                                                        setstackaddr <= '1';
2222
                                                                                        set(direct_delta) <= '1';
2223
                                                                                        set(directPC) <= '1';
2224
                                                                                        next_micro_state <= nopnop;
2225
                                                                                END IF;
2226
 
2227
                                                                        WHEN "1110110" =>                                                                       --trapv
2228
                                                                                IF decodeOPC='1' THEN
2229
                                                                                        setstate <= "01";
2230
                                                                                END IF;
2231
                                                                                IF Flags(1)='1' AND state="01" THEN
2232
                                                                                        trap_trapv <= '1';
2233
                                                                                        trapmake <= '1';
2234
                                                                                END IF;
2235
 
2236
                                                                        WHEN "1111010"|"1111011" =>                                                                     --movec
2237
                                                                                IF VBR_Stackframe=0 OR (cpu(0)='0' AND VBR_Stackframe=2) THEN
2238
                                                                                        trap_illegal <= '1';
2239
                                                                                        trapmake <= '1';
2240
                                                                                ELSIF SVmode='0' THEN
2241
                                                                                        trap_priv <= '1';
2242
                                                                                        trapmake <= '1';
2243
                                                                                ELSE
2244
                                                                                        datatype <= "10";       --Long
2245
                                                                                        IF last_data_read(11 downto 0)=X"800" THEN
2246
                                                                                                set(from_USP) <= '1';
2247
                                                                                                IF opcode(0)='1' THEN
2248
                                                                                                        set(to_USP) <= '1';
2249
                                                                                                END IF;
2250
                                                                                        END IF;
2251
                                                                                        IF opcode(0)='0' THEN
2252
                                                                                                set_exec(movec_rd) <= '1';
2253
                                                                                        ELSE
2254
                                                                                                set_exec(movec_wr) <= '1';
2255
                                                                                        END IF;
2256
                                                                                        IF decodeOPC='1' THEN
2257
                                                                                                next_micro_state <= movec1;
2258
                                                                                                getbrief <='1';
2259
                                                                                        END IF;
2260
                                                                                END IF;
2261
 
2262
                                                                        WHEN OTHERS =>
2263
                                                                                trap_illegal <= '1';
2264
                                                                                trapmake <= '1';
2265
                                                                END CASE;
2266
                                                        END IF;
2267
                                                WHEN OTHERS => NULL;
2268
                                        END CASE;
2269
                                END IF;
2270
--                                      
2271
---- 0101 ----------------------------------------------------------------------------          
2272
                        WHEN "0101" =>                                                          --subq, addq    
2273
 
2274
                                        IF opcode(7 downto 6)="11" THEN --dbcc
2275
                                                IF opcode(5 downto 3)="001" THEN --dbcc
2276
                                                        IF decodeOPC='1' THEN
2277
                                                                next_micro_state <= dbcc1;
2278
                                                                set(OP2out_one) <= '1';
2279
                                                                data_is_source <= '1';
2280
                                                        END IF;
2281
                                                ELSE                            --Scc
2282
                                                        datatype <= "00";                       --Byte
2283
                                                        ea_build_now <= '1';
2284
                                                        write_back <= '1';
2285
                                                        set_exec(opcScc) <= '1';
2286
                                                        IF cpu(0)='1' AND state="10" THEN
2287
                                                                skipFetch <= '1';
2288
                                                        END IF;
2289
                                                        IF opcode(5 downto 4)="00" THEN
2290
                                                                set_exec(Regwrena) <= '1';
2291
                                                        END IF;
2292
                                                END IF;
2293
                                        ELSE                                    --addq, subq
2294
                                                ea_build_now <= '1';
2295
                                                IF opcode(5 downto 3)="001" THEN
2296
                                                        set(no_Flags) <= '1';
2297
                                                END IF;
2298
                                                IF opcode(8)='1' THEN
2299
                                                        set(addsub) <= '1';
2300
                                                END IF;
2301
                                                write_back <= '1';
2302
                                                set_exec(opcADDQ) <= '1';
2303
                                                set_exec(opcADD) <= '1';
2304
                                                set_exec(ea_data_OP1) <= '1';
2305
                                                IF opcode(5 downto 4)="00" THEN
2306
                                                        set_exec(Regwrena) <= '1';
2307
                                                END IF;
2308
                                        END IF;
2309
--                              
2310
---- 0110 ----------------------------------------------------------------------------          
2311
                        WHEN "0110" =>                          --bra,bsr,bcc
2312
                                datatype <= "10";
2313
 
2314
                                IF micro_state=idle THEN
2315
                                        IF opcode(11 downto 8)="0001" THEN              --bsr
2316
                                                set(presub) <= '1';
2317
                                                setstackaddr <='1';
2318
                                                IF opcode(7 downto 0)="11111111" THEN
2319
                                                        next_micro_state <= bsr2;
2320
                                                        set(longaktion) <= '1';
2321
                                                ELSIF opcode(7 downto 0)="00000000" THEN
2322
                                                        next_micro_state <= bsr2;
2323
                                                ELSE
2324
                                                        next_micro_state <= bsr1;
2325
                                                        setstate <= "11";
2326
                                                        writePC <= '1';
2327
                                                END IF;
2328
                                        ELSE                                                                    --bra
2329
                                                IF opcode(7 downto 0)="11111111" THEN
2330
                                                        next_micro_state <= bra1;
2331
                                                        set(longaktion) <= '1';
2332
                                                ELSIF opcode(7 downto 0)="00000000" THEN
2333
                                                        next_micro_state <= bra1;
2334
                                                ELSE
2335
                                                        setstate <= "01";
2336
                                                        next_micro_state <= bra1;
2337
                                                END IF;
2338
                                        END IF;
2339
                                END IF;
2340
 
2341
-- 0111 ----------------------------------------------------------------------------            
2342
                        WHEN "0111" =>                          --moveq
2343
--                              IF opcode(8)='0' THEN   -- Cloanto's Amiga Forver ROMs have mangled moveq instructions with a 1 here...
2344
                                        datatype <= "10";               --Long
2345
                                        set_exec(Regwrena) <= '1';
2346
                                        set_exec(opcMOVEQ) <= '1';
2347
                                        set_exec(opcMOVE) <= '1';
2348
                                        dest_hbits <= '1';
2349
--                              ELSE
2350
--                                      trap_illegal <= '1';
2351
--                                      trapmake <= '1';
2352
--                              END IF;
2353
 
2354
---- 1000 ----------------------------------------------------------------------------          
2355
                        WHEN "1000" =>                                                          --or    
2356
                                IF opcode(7 downto 6)="11" THEN --divu, divs
2357
                                        IF DIV_Mode/=3 THEN
2358
                                                IF opcode(5 downto 4)="00" THEN --Dn, An
2359
                                                        regdirectsource <= '1';
2360
                                                END IF;
2361
                                                IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2362
                                                        setstate <="01";
2363
                                                        next_micro_state <= div1;
2364
                                                END IF;
2365
                                                ea_build_now <= '1';
2366
                                                IF z_error='0' AND set_V_Flag='0' THEN
2367
                                                        set_exec(Regwrena) <= '1';
2368
                                                END IF;
2369
                                                        source_lowbits <='1';
2370
                                                IF nextpass='1' OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2371
                                                        dest_hbits <= '1';
2372
                                                END IF;
2373
                                                datatype <= "01";
2374
                                        ELSE
2375
                                                trap_illegal <= '1';
2376
                                                trapmake <= '1';
2377
                                        END IF;
2378
 
2379
                                ELSIF opcode(8)='1' AND opcode(5 downto 4)="00" THEN    --sbcd, pack , unpack
2380
                                        IF opcode(7 downto 6)="00" THEN --sbcd
2381
                                                build_bcd <= '1';
2382
                                                set_exec(opcADD) <= '1';
2383
                                                set_exec(opcSBCD) <= '1';
2384
                                                set(addsub) <= '1';
2385
                                        ELSIF opcode(7 downto 6)="01" OR opcode(7 downto 6)="10" THEN   --pack , unpack
2386
                                                set_exec(ea_data_OP1) <= '1';
2387
                                                set(no_Flags) <= '1';
2388
                                                source_lowbits <='1';
2389
                                                IF opcode(7 downto 6) = "01" THEN       --pack
2390
                                                        set_exec(opcPACK) <= '1';
2391
                                                        datatype <= "01";                               --Word
2392
                                                ELSE                                                            --unpk
2393
                                                        set_exec(opcUNPACK) <= '1';
2394
                                                        datatype <= "00";                               --Byte
2395
                                                END IF;
2396
                                                IF opcode(3)='0' THEN
2397
                                                        IF opcode(7 downto 6) = "01" THEN       --pack
2398
                                                                set_datatype <= "00";           --Byte
2399
                                                        ELSE                                                            --unpk
2400
                                                                set_datatype <= "01";           --Word
2401
                                                        END IF;
2402
                                                        set_exec(Regwrena) <= '1';
2403
                                                        dest_hbits <= '1';
2404
                                                        IF decodeOPC='1' THEN
2405
                                                                next_micro_state <= nop;
2406
--                                                              set_direct_data <= '1';
2407
                                                                set(store_ea_packdata) <= '1';
2408
                                                                set(store_ea_data) <= '1';
2409
                                                        END IF;
2410
                                                ELSE                            -- pack -(Ax),-(Ay)
2411
                                                        write_back <= '1';
2412
                                                        IF decodeOPC='1' THEN
2413
                                                                next_micro_state <= pack1;
2414
                                                                set_direct_data <= '1';
2415
                                                        END IF;
2416
                                                END IF;
2417
                                        ELSE
2418
                                                trap_illegal <= '1';
2419
                                                trapmake <= '1';
2420
                                        END IF;
2421
                                ELSE                                                                    --or
2422
                                        set_exec(opcOR) <= '1';
2423
                                        build_logical <= '1';
2424
                                END IF;
2425
 
2426
---- 1001, 1101 -----------------------------------------------------------------------         
2427
                        WHEN "1001"|"1101" =>                                           --sub, add      
2428
                                set_exec(opcADD) <= '1';
2429
                                ea_build_now <= '1';
2430
                                IF opcode(14)='0' THEN
2431
                                        set(addsub) <= '1';
2432
                                END IF;
2433
                                IF opcode(7 downto 6)="11" THEN --      --adda, suba
2434
                                        IF opcode(8)='0' THEN    --adda.w, suba.w
2435
                                                datatype <= "01";       --Word
2436
                                        END IF;
2437
                                        set_exec(Regwrena) <= '1';
2438
                                        source_lowbits <='1';
2439
                                        IF opcode(3)='1' THEN
2440
                                                source_areg <= '1';
2441
                                        END IF;
2442
                                        set(no_Flags) <= '1';
2443
                                        IF setexecOPC='1' THEN
2444
                                                dest_areg <='1';
2445
                                                dest_hbits <= '1';
2446
                                        END IF;
2447
                                ELSE
2448
                                        IF opcode(8)='1' AND opcode(5 downto 4)="00" THEN               --addx, subx
2449
                                                build_bcd <= '1';
2450
                                        ELSE                                                    --sub, add
2451
                                                build_logical <= '1';
2452
                                        END IF;
2453
                                END IF;
2454
 
2455
--                              
2456
---- 1010 ----------------------------------------------------------------------------          
2457
                        WHEN "1010" =>                                                  --Trap 1010
2458
                                trap_1010 <= '1';
2459
                                trapmake <= '1';
2460
---- 1011 ----------------------------------------------------------------------------          
2461
                        WHEN "1011" =>                                                  --eor, cmp
2462
                                ea_build_now <= '1';
2463
                                IF opcode(7 downto 6)="11" THEN --CMPA
2464
                                        IF opcode(8)='0' THEN    --cmpa.w
2465
                                                datatype <= "01";       --Word
2466
                                                set_exec(opcCPMAW) <= '1';
2467
                                        END IF;
2468
                                        set_exec(opcCMP) <= '1';
2469
                                        IF setexecOPC='1' THEN
2470
                                                source_lowbits <='1';
2471
                                                IF opcode(3)='1' THEN
2472
                                                        source_areg <= '1';
2473
                                                END IF;
2474
                                                dest_areg <='1';
2475
                                                dest_hbits <= '1';
2476
                                        END IF;
2477
                                        set(addsub) <= '1';
2478
                                ELSE
2479
                                        IF opcode(8)='1' THEN
2480
                                                IF opcode(5 downto 3)="001" THEN                --cmpm
2481
                                                        set_exec(opcCMP) <= '1';
2482
                                                        IF decodeOPC='1' THEN
2483
                                                                IF opcode(2 downto 0)="111" THEN
2484
                                                                        set(use_SP) <= '1';
2485
                                                                END IF;
2486
                                                                setstate <= "10";
2487
                                                                set(update_ld) <= '1';
2488
                                                                set(postadd) <= '1';
2489
                                                                next_micro_state <= cmpm;
2490
                                                        END IF;
2491
                                                        set_exec(ea_data_OP1) <= '1';
2492
                                                        set(addsub) <= '1';
2493
                                                ELSE                                            --EOR
2494
                                                        build_logical <= '1';
2495
                                                        set_exec(opcEOR) <= '1';
2496
                                                END IF;
2497
                                        ELSE                                                    --CMP
2498
                                                build_logical <= '1';
2499
                                                set_exec(opcCMP) <= '1';
2500
                                                set(addsub) <= '1';
2501
                                        END IF;
2502
                                END IF;
2503
--                              
2504
---- 1100 ----------------------------------------------------------------------------          
2505
                        WHEN "1100" =>                                                          --and, exg
2506
                                IF opcode(7 downto 6)="11" THEN --mulu, muls
2507
                                        IF MUL_Mode/=3 THEN
2508
                                                IF opcode(5 downto 4)="00" THEN --Dn, An
2509
                                                        regdirectsource <= '1';
2510
                                                END IF;
2511
                                                IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2512
                                                        IF MUL_Hardware=0 THEN
2513
                                                                setstate <="01";
2514
                                                                set(ld_rot_cnt) <= '1';
2515
                                                                next_micro_state <= mul1;
2516
                                                        ELSE
2517
                                                                set_exec(write_lowlong) <= '1';
2518
                                                                set_exec(opcMULU) <= '1';
2519
                                                        END IF;
2520
                                                END IF;
2521
                                                ea_build_now <= '1';
2522
                                                set_exec(Regwrena) <= '1';
2523
                                                source_lowbits <='1';
2524
                                                IF (nextpass='1') OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2525
                                                        dest_hbits <= '1';
2526
                                                END IF;
2527
                                                datatype <= "01";
2528
                                                IF setexecOPC='1' THEN
2529
                                                        datatype <= "10";
2530
                                                END IF;
2531
 
2532
                                        ELSE
2533
                                                trap_illegal <= '1';
2534
                                                trapmake <= '1';
2535
                                        END IF;
2536
 
2537
                                ELSIF opcode(8)='1' AND opcode(5 downto 4)="00" THEN    --exg, abcd
2538
                                        IF opcode(7 downto 6)="00" THEN --abcd
2539
                                                build_bcd <= '1';
2540
                                                set_exec(opcADD) <= '1';
2541
                                                set_exec(opcABCD) <= '1';
2542
                                        ELSE                                                                    --exg
2543
                                                datatype <= "10";
2544
                                                set(Regwrena) <= '1';
2545
                                                set(exg) <= '1';
2546
                                                IF opcode(6)='1' AND opcode(3)='1' THEN
2547
                                                        dest_areg <= '1';
2548
                                                        source_areg <= '1';
2549
                                                END IF;
2550
                                                IF decodeOPC='1' THEN
2551
                                                        setstate <= "01";
2552
                                                ELSE
2553
                                                        dest_hbits <= '1';
2554
                                                END IF;
2555
                                        END IF;
2556
                                ELSE                                                                    --and
2557
                                        set_exec(opcAND) <= '1';
2558
                                        build_logical <= '1';
2559
                                END IF;
2560
--                              
2561
---- 1110 ----------------------------------------------------------------------------          
2562
                        WHEN "1110" =>                                                          --rotation / bitfield
2563
                                IF opcode(7 downto 6)="11" THEN
2564
                                        IF opcode(11)='0' THEN
2565
                                                IF BarrelShifter=0 THEN
2566
                                                        set_exec(opcROT) <= '1';
2567
                                                ELSE
2568
                                                        set_exec(exec_BS) <='1';
2569
                                                END IF;
2570
                                                ea_build_now <= '1';
2571
                                                datatype <= "01";
2572
                                                set_rot_bits <= opcode(10 downto 9);
2573
                                                set_exec(ea_data_OP1) <= '1';
2574
                                                write_back <= '1';
2575
                                        ELSE            --bitfield
2576
                                                IF BitField=0 OR (cpu(1)='0' AND BitField=2) THEN
2577
                                                        trap_illegal <= '1';
2578
                                                        trapmake <= '1';
2579
                                                ELSE
2580
                                                        IF decodeOPC='1' THEN
2581
                                                                next_micro_state <= nop;
2582
                                                                set(get_2ndOPC) <= '1';
2583
                                                                set(ea_build) <= '1';
2584
                                                        END IF;
2585
                                                        set_exec(opcBF) <= '1';
2586
--              000-bftst, 001-bfextu, 010-bfchg, 011-bfexts, 100-bfclr, 101-bfff0, 110-bfset, 111-bfins                                                                
2587
                                                        IF opcode(10)='1' OR opcode(8)='0' THEN
2588
                                                                set_exec(opcBFwb) <= '1';                       --'1' for tst,chg,clr,ffo,set,ins    --'0' for extu,exts
2589
                                                        END IF;
2590
                                                        IF opcode(10 downto 8)="111" THEN       --BFINS
2591
                                                                set_exec(ea_data_OP1) <= '1';
2592
                                                        END IF;
2593
 
2594
                                                        IF opcode(10 downto 8)="010" OR opcode(10 downto 8)="100" OR opcode(10 downto 8)="110" OR opcode(10 downto 8)="111" THEN
2595
                                                                write_back <= '1';
2596
                                                        END IF;
2597
                                                        ea_only <= '1';
2598
                                                        IF opcode(10 downto 8)="001" OR opcode(10 downto 8)="011" OR opcode(10 downto 8)="101" THEN
2599
                                                                set_exec(Regwrena) <= '1';
2600
                                                        END IF;
2601
                                                        IF opcode(4 downto 3)="00" THEN
2602
                                                                IF opcode(10 downto 8)/="000" THEN
2603
                                                                        set_exec(Regwrena) <= '1';
2604
                                                                END IF;
2605
                                                                IF exec(ea_build)='1' THEN
2606
                                                                        dest_2ndHbits <= '1';
2607
                                                                        source_2ndLbits <= '1';
2608
                                                                        set(get_bfoffset) <='1';
2609
                                                                        setstate <= "01";
2610
                                                                END IF;
2611
                                                        END IF;
2612
                                                        IF set(get_ea_now)='1' THEN
2613
                                                                setstate <= "01";
2614
                                                        END IF;
2615
                                                        IF exec(get_ea_now)='1' THEN
2616
                                                                dest_2ndHbits <= '1';
2617
                                                                source_2ndLbits <= '1';
2618
                                                                set(get_bfoffset) <='1';
2619
                                                                setstate <= "01";
2620
                                                                set(mem_addsub) <='1';
2621
                                                                next_micro_state <= bf1;
2622
                                                        END IF;
2623
 
2624
                                                        IF setexecOPC='1' THEN
2625
                                                                IF opcode(10 downto 8)="111" THEN       --BFINS
2626
                                                                        source_2ndHbits <= '1';
2627
                                                                ELSE
2628
                                                                        source_lowbits <= '1';
2629
                                                                END IF;
2630
                                                                IF opcode(10 downto 8)="001" OR opcode(10 downto 8)="011" OR opcode(10 downto 8)="101" THEN     --BFEXT, BFFFO
2631
                                                                        dest_2ndHbits <= '1';
2632
                                                                END IF;
2633
                                                        END IF;
2634
                                                END IF;
2635
                                        END IF;
2636
                                ELSE
2637
                                        data_is_source <= '1';
2638
                                        IF BarrelShifter=0 OR (cpu(1)='0' AND BarrelShifter=2) THEN
2639
 
2640
                                                set_exec(opcROT) <= '1';
2641
                                                set_rot_bits <= opcode(4 downto 3);
2642
                                                set_exec(Regwrena) <= '1';
2643
                                                IF decodeOPC='1' THEN
2644
                                                        IF opcode(5)='1' THEN
2645
                                                                next_micro_state <= rota1;
2646
                                                                set(ld_rot_cnt) <= '1';
2647
                                                                setstate <= "01";
2648
                                                        ELSE
2649
                                                                set_rot_cnt(2 downto 0) <= opcode(11 downto 9);
2650
                                                                IF opcode(11 downto 9)="000" THEN
2651
                                                                        set_rot_cnt(3) <='1';
2652
                                                                ELSE
2653
                                                                        set_rot_cnt(3) <='0';
2654
                                                                END IF;
2655
                                                        END IF;
2656
                                                END IF;
2657
                                        ELSE
2658
                                                set_exec(exec_BS) <='1';
2659
                                                set_rot_bits <= opcode(4 downto 3);
2660
                                                set_exec(Regwrena) <= '1';
2661
                                        END IF;
2662
                                END IF;
2663
--                                                      
2664
----      ----------------------------------------------------------------------------          
2665
                        WHEN OTHERS =>
2666
                                trap_1111 <= '1';
2667
                                trapmake <= '1';
2668
 
2669
                END CASE;
2670
 
2671
-- use for AND, OR, EOR, CMP
2672
                IF build_logical='1' THEN
2673
                        ea_build_now <= '1';
2674
                        IF set_exec(opcCMP)='0' AND (opcode(8)='0' OR opcode(5 downto 4)="00" ) THEN
2675
                                set_exec(Regwrena) <= '1';
2676
                        END IF;
2677
                        IF opcode(8)='1' THEN
2678
                                write_back <= '1';
2679
                                set_exec(ea_data_OP1) <= '1';
2680
                        ELSE
2681
                                source_lowbits <='1';
2682
                                IF opcode(3)='1' THEN           --use for cmp
2683
                                        source_areg <= '1';
2684
                                END IF;
2685
                                IF setexecOPC='1' THEN
2686
                                        dest_hbits <= '1';
2687
                                END IF;
2688
                        END IF;
2689
                END IF;
2690
 
2691
-- use for ABCD, SBCD
2692
                IF build_bcd='1' THEN
2693
                        set_exec(use_XZFlag) <= '1';
2694
                        set_exec(ea_data_OP1) <= '1';
2695
                        write_back <= '1';
2696
                        source_lowbits <='1';
2697
                        IF opcode(3)='1' THEN
2698
                                IF decodeOPC='1' THEN
2699
                                        IF opcode(2 downto 0)="111" THEN
2700
                                                set(use_SP) <= '1';
2701
                                        END IF;
2702
                                        setstate <= "10";
2703
                                        set(update_ld) <= '1';
2704
                                        set(presub) <= '1';
2705
                                        next_micro_state <= op_AxAy;
2706
                                        dest_areg <= '1';                               --???
2707
                                END IF;
2708
                        ELSE
2709
                                dest_hbits <= '1';
2710
                                set_exec(Regwrena) <= '1';
2711
                        END IF;
2712
                END IF;
2713
 
2714
 
2715
------------------------------------------------------------------------------          
2716
------------------------------------------------------------------------------          
2717
                IF set_Z_error='1'  THEN                -- divu by zero
2718
                        trapmake <= '1';                        --wichtig for USP
2719
                        IF trapd='0' THEN
2720
                                writePC <= '1';
2721
                        END IF;
2722
                END IF;
2723
 
2724
-----------------------------------------------------------------------------
2725
-- execute microcode
2726
-----------------------------------------------------------------------------
2727
                IF rising_edge(clk) THEN
2728
                IF Reset='1' THEN
2729
                                micro_state <= ld_nn;
2730
                        ELSIF clkena_lw='1' THEN
2731
                                trapd <= trapmake;
2732
                                micro_state <= next_micro_state;
2733
                        END IF;
2734
                END IF;
2735
 
2736
                        CASE micro_state IS
2737
                                WHEN ld_nn =>           -- (nnnn).w/l=>
2738
                                        set(get_ea_now) <='1';
2739
                                        setnextpass <= '1';
2740
                                        set(addrlong) <= '1';
2741
 
2742
                                WHEN st_nn =>           -- =>(nnnn).w/l
2743
                                        setstate <= "11";
2744
                                        set(addrlong) <= '1';
2745
                                        next_micro_state <= nop;
2746
 
2747
                                WHEN ld_dAn1 =>         -- d(An)=>, --d(PC)=>
2748
                                        set(get_ea_now) <='1';
2749
                                        setdisp <= '1';         --word
2750
                                        setnextpass <= '1';
2751
 
2752
                                WHEN ld_AnXn1 =>                -- d(An,Xn)=>, --d(PC,Xn)=>
2753
                                        IF brief(8)='0' OR extAddr_Mode=0 OR (cpu(1)='0' AND extAddr_Mode=2) THEN
2754
                                                setdisp <= '1';         --byte  
2755
                                                setdispbyte <= '1';
2756
                                                setstate <= "01";
2757
                                                set(briefext) <= '1';
2758
                                                next_micro_state <= ld_AnXn2;
2759
                                        ELSE
2760
                                                IF brief(7)='1'THEN             --suppress Base
2761
                                                        set_suppress_base <= '1';
2762
                                                ELSIF exec(dispouter)='1' THEN
2763
                                                        set(dispouter) <= '1';
2764
                                                END IF;
2765
                                                IF brief(5)='0' THEN --NULL Base Displacement
2766
                                                        setstate <= "01";
2767
                                                ELSE  --WORD Base Displacement
2768
                                                        IF brief(4)='1' THEN
2769
                                                                set(longaktion) <= '1'; --LONG Base Displacement
2770
                                                        END IF;
2771
                                                END IF;
2772
                                                next_micro_state <= ld_229_1;
2773
                                        END IF;
2774
 
2775
                                WHEN ld_AnXn2 =>
2776
                                        set(get_ea_now) <='1';
2777
                                        setdisp <= '1';         --brief
2778
                                        setnextpass <= '1';
2779
 
2780
-------------------------------------------------------------------------------------                                   
2781
 
2782
                                WHEN ld_229_1 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2783
                                        IF brief(5)='1' THEN    --Base Displacement
2784
                                                setdisp <= '1';         --add last_data_read
2785
                                        END IF;
2786
                                        IF brief(6)='0' AND brief(2)='0' THEN --Preindex or Index
2787
                                                set(briefext) <= '1';
2788
                                                setstate <= "01";
2789
                                                IF brief(1 downto 0)="00" THEN
2790
                                                        next_micro_state <= ld_AnXn2;
2791
                                                ELSE
2792
                                                        next_micro_state <= ld_229_2;
2793
                                                END IF;
2794
                                        ELSE
2795
                                                IF brief(1 downto 0)="00" THEN
2796
                                                        set(get_ea_now) <='1';
2797
                                                        setnextpass <= '1';
2798
                                                ELSE
2799
                                                        setstate <= "10";
2800
                                                        set(longaktion) <= '1';
2801
                                                        next_micro_state <= ld_229_3;
2802
                                                END IF;
2803
                                        END IF;
2804
 
2805
                                WHEN ld_229_2 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2806
                                        setdisp <= '1';         -- add Index
2807
                                        setstate <= "10";
2808
                                        set(longaktion) <= '1';
2809
                                        next_micro_state <= ld_229_3;
2810
 
2811
                                WHEN ld_229_3 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2812
                                        set_suppress_base <= '1';
2813
                                        set(dispouter) <= '1';
2814
                                        IF brief(1)='0' THEN --NULL Outer Displacement
2815
                                                setstate <= "01";
2816
                                        ELSE  --WORD Outer Displacement
2817
                                                IF brief(0)='1' THEN
2818
                                                        set(longaktion) <= '1'; --LONG Outer Displacement
2819
                                                END IF;
2820
                                        END IF;
2821
                                        next_micro_state <= ld_229_4;
2822
 
2823
                                WHEN ld_229_4 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2824
                                        IF brief(1)='1' THEN  -- Outer Displacement
2825
                                                setdisp <= '1';   --add last_data_read
2826
                                        END IF;
2827
                                        IF brief(6)='0' AND brief(2)='1' THEN --Postindex
2828
                                                set(briefext) <= '1';
2829
                                                setstate <= "01";
2830
                                                next_micro_state <= ld_AnXn2;
2831
                                        ELSE
2832
                                                set(get_ea_now) <='1';
2833
                                                setnextpass <= '1';
2834
                                        END IF;
2835
 
2836
----------------------------------------------------------------------------------------                                
2837
                                WHEN st_dAn1 =>         -- =>d(An)
2838
                                        setstate <= "11";
2839
                                        setdisp <= '1';         --word
2840
                                        next_micro_state <= nop;
2841
 
2842
                                WHEN st_AnXn1 =>                -- =>d(An,Xn)
2843
                                        IF brief(8)='0' OR extAddr_Mode=0 OR (cpu(1)='0' AND extAddr_Mode=2) THEN
2844
                                                setdisp <= '1';         --byte  
2845
                                                setdispbyte <= '1';
2846
                                                setstate <= "01";
2847
                                                set(briefext) <= '1';
2848
                                                next_micro_state <= st_AnXn2;
2849
                                        ELSE
2850
                                                IF brief(7)='1'THEN             --suppress Base
2851
                                                        set_suppress_base <= '1';
2852
--                                              ELSIF exec(dispouter)='1' THEN
2853
--                                                      set(dispouter) <= '1';
2854
                                                END IF;
2855
                                                IF brief(5)='0' THEN --NULL Base Displacement
2856
                                                        setstate <= "01";
2857
                                                ELSE  --WORD Base Displacement
2858
                                                        IF brief(4)='1' THEN
2859
                                                                set(longaktion) <= '1'; --LONG Base Displacement
2860
                                                        END IF;
2861
                                                END IF;
2862
                                                next_micro_state <= st_229_1;
2863
                                        END IF;
2864
 
2865
                                WHEN st_AnXn2 =>
2866
                                        setstate <= "11";
2867
                                        setdisp <= '1';         --brief 
2868
                                        next_micro_state <= nop;
2869
 
2870
-------------------------------------------------------------------------------------                                   
2871
 
2872
                                WHEN st_229_1 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2873
                                        IF brief(5)='1' THEN    --Base Displacement
2874
                                                setdisp <= '1';         --add last_data_read
2875
                                        END IF;
2876
                                        IF brief(6)='0' AND brief(2)='0' THEN --Preindex or Index
2877
                                                set(briefext) <= '1';
2878
                                                setstate <= "01";
2879
                                                IF brief(1 downto 0)="00" THEN
2880
                                                        next_micro_state <= st_AnXn2;
2881
                                                ELSE
2882
                                                        next_micro_state <= st_229_2;
2883
                                                END IF;
2884
                                        ELSE
2885
                                                IF brief(1 downto 0)="00" THEN
2886
                                                        setstate <= "11";
2887
                                                        next_micro_state <= nop;
2888
                                                ELSE
2889
                                                        set(hold_dwr) <= '1';
2890
                                                        setstate <= "10";
2891
                                                        set(longaktion) <= '1';
2892
                                                        next_micro_state <= st_229_3;
2893
                                                END IF;
2894
                                        END IF;
2895
 
2896
                                WHEN st_229_2 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2897
                                        setdisp <= '1';         -- add Index
2898
                                        set(hold_dwr) <= '1';
2899
                                        setstate <= "10";
2900
                                        set(longaktion) <= '1';
2901
                                        next_micro_state <= st_229_3;
2902
 
2903
                                WHEN st_229_3 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2904
                                        set(hold_dwr) <= '1';
2905
                                        set_suppress_base <= '1';
2906
                                        set(dispouter) <= '1';
2907
                                        IF brief(1)='0' THEN --NULL Outer Displacement
2908
                                                setstate <= "01";
2909
                                        ELSE  --WORD Outer Displacement
2910
                                                IF brief(0)='1' THEN
2911
                                                        set(longaktion) <= '1'; --LONG Outer Displacement
2912
                                                END IF;
2913
                                        END IF;
2914
                                        next_micro_state <= st_229_4;
2915
 
2916
                                WHEN st_229_4 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2917
                                        set(hold_dwr) <= '1';
2918
                                        IF brief(1)='1' THEN  -- Outer Displacement
2919
                                                setdisp <= '1';   --add last_data_read
2920
                                        END IF;
2921
                                        IF brief(6)='0' AND brief(2)='1' THEN --Postindex
2922
                                                set(briefext) <= '1';
2923
                                                setstate <= "01";
2924
                                                next_micro_state <= st_AnXn2;
2925
                                        ELSE
2926
                                                setstate <= "11";
2927
                                                next_micro_state <= nop;
2928
                                        END IF;
2929
 
2930
----------------------------------------------------------------------------------------                                
2931
                                WHEN bra1 =>            --bra
2932
                                        IF exe_condition='1' THEN
2933
                                                TG68_PC_brw <= '1';     --pc+0000
2934
                                                next_micro_state <= nop;
2935
                                                skipFetch <= '1';
2936
                                        END IF;
2937
 
2938
                                WHEN bsr1 =>            --bsr short
2939
                                        TG68_PC_brw <= '1';
2940
                                        next_micro_state <= nop;
2941
 
2942
                                WHEN bsr2 =>            --bsr
2943
                                        IF long_start='0' THEN
2944
                                                TG68_PC_brw <= '1';
2945
                                        END IF;
2946
                                        skipFetch <= '1';
2947
                                        set(longaktion) <= '1';
2948
                                        writePC <= '1';
2949
                                        setstate <= "11";
2950
                                        next_micro_state <= nopnop;
2951
                                        setstackaddr <='1';
2952
                                WHEN nopnop =>          --bsr
2953
                                        next_micro_state <= nop;
2954
 
2955
                                WHEN dbcc1 =>           --dbcc
2956
                                        IF exe_condition='0' THEN
2957
                                                Regwrena_now <= '1';
2958
                                                IF c_out(1)='1' THEN
2959
                                                        skipFetch <= '1';
2960
                                                        next_micro_state <= nop;
2961
                                                        TG68_PC_brw <= '1';
2962
                                                END IF;
2963
                                        END IF;
2964
 
2965
                                WHEN movem1 =>          --movem
2966
                                        IF last_data_read(15 downto 0)/=X"0000" THEN
2967
                                                setstate <="01";
2968
                                                IF opcode(5 downto 3)="100" THEN
2969
                                                        set(mem_addsub) <= '1';
2970
                                                END IF;
2971
                                                next_micro_state <= movem2;
2972
                                        END IF;
2973
                                WHEN movem2 =>          --movem
2974
                                        IF movem_run='0' THEN
2975
                                                setstate <="01";
2976
                                        ELSE
2977
                                                set(movem_action) <= '1';
2978
                                                set(mem_addsub) <= '1';
2979
                                                next_micro_state <= movem2;
2980
                                                IF opcode(10)='0' THEN
2981
                                                        setstate <="11";
2982
                                                        set(write_reg) <= '1';
2983
                                                ELSE
2984
                                                        setstate <="10";
2985
                                                END IF;
2986
                                        END IF;
2987
 
2988
                                WHEN andi =>            --andi
2989
                                        IF opcode(5 downto 4)/="00" THEN
2990
                                                setnextpass <= '1';
2991
                                        END IF;
2992
 
2993
                                WHEN pack1 =>           -- pack -(Ax),-(Ay)
2994 6 tobiflex
                                        IF opcode(2 downto 0)="111" THEN
2995
                                                set(use_SP) <= '1';
2996
                                        END IF;
2997 2 tobiflex
                                        set(hold_ea_data) <= '1';
2998
                                        set(update_ld) <= '1';
2999
                                        setstate <= "10";
3000
                                        set(presub) <= '1';
3001
                                        next_micro_state <= pack2;
3002
                                        dest_areg <= '1';
3003
                                WHEN pack2 =>
3004 6 tobiflex
                                        IF opcode(11 downto 9)="111" THEN
3005
                                                set(use_SP) <= '1';
3006
                                        END IF;
3007 2 tobiflex
                                        set(hold_ea_data) <= '1';
3008
                                        set_direct_data <= '1';
3009
                                        IF opcode(7 downto 6) = "01" THEN       --pack
3010
                                                datatype <= "00";               --Byte
3011
                                        ELSE                                                            --unpk
3012
                                                datatype <= "01";               --Word
3013
                                        END IF;
3014
                                        set(presub) <= '1';
3015
                                        dest_hbits <= '1';
3016
                                        dest_areg <= '1';
3017
                                        setstate <= "10";
3018
                                        next_micro_state <= pack3;
3019
                                WHEN pack3 =>
3020
                                        skipFetch <= '1';
3021
 
3022
                                WHEN op_AxAy =>         -- op -(Ax),-(Ay)
3023
                                        IF opcode(11 downto 9)="111" THEN
3024
                                                set(use_SP) <= '1';
3025
                                        END IF;
3026
                                        set_direct_data <= '1';
3027
                                        set(presub) <= '1';
3028
                                        dest_hbits <= '1';
3029
                                        dest_areg <= '1';
3030
                                        setstate <= "10";
3031
 
3032
                                WHEN cmpm =>            -- cmpm (Ay)+,(Ax)+
3033
                                        IF opcode(11 downto 9)="111" THEN
3034
                                                set(use_SP) <= '1';
3035
                                        END IF;
3036
                                        set_direct_data <= '1';
3037
                                        set(postadd) <= '1';
3038
                                        dest_hbits <= '1';
3039
                                        dest_areg <= '1';
3040
                                        setstate <= "10";
3041
 
3042
                                WHEN link1 =>           -- link
3043
                                        setstate <="11";
3044
                                        source_areg <= '1';
3045
                                        set(opcMOVE) <= '1';
3046
                                        set(Regwrena) <= '1';
3047
                                        next_micro_state <= link2;
3048
                                WHEN link2 =>           -- link
3049
                                        setstackaddr <='1';
3050
                                        set(ea_data_OP2) <= '1';
3051
 
3052
                                WHEN unlink1 =>         -- unlink
3053
                                        setstate <="10";
3054
                                        setstackaddr <='1';
3055
                                        set(postadd) <= '1';
3056
                                        next_micro_state <= unlink2;
3057
                                WHEN unlink2 =>         -- unlink
3058
                                        set(ea_data_OP2) <= '1';
3059
 
3060
                                WHEN trap0 =>           -- TRAP
3061
                                        set(presub) <= '1';
3062
                                        setstackaddr <='1';
3063
                                        setstate <= "11";
3064
                                        IF VBR_Stackframe=1 OR (cpu(0)='1' AND VBR_Stackframe=2) THEN    --68010
3065
                                                set(writePC_add) <= '1';
3066
                                                datatype <= "01";
3067
--                                              set_datatype <= "10";
3068
                                                next_micro_state <= trap1;
3069
                                        ELSE
3070
                                                IF trap_interrupt='1' OR trap_trace='1' OR trap_berr='1' THEN
3071
                                                        writePC <= '1';
3072
                                                END IF;
3073
                                                datatype <= "10";
3074
                                                next_micro_state <= trap2;
3075
                                        END IF;
3076
                                WHEN trap1 =>           -- TRAP
3077
                                        IF trap_interrupt='1' OR trap_trace='1' THEN
3078
                                                writePC <= '1';
3079
                                        END IF;
3080
                                        set(presub) <= '1';
3081
                                        setstackaddr <='1';
3082
                                        setstate <= "11";
3083
                                        datatype <= "10";
3084
                                        next_micro_state <= trap2;
3085
                                WHEN trap2 =>           -- TRAP
3086
                                        set(presub) <= '1';
3087
                                        setstackaddr <='1';
3088
                                        setstate <= "11";
3089
                                        datatype <= "01";
3090
                                        writeSR <= '1';
3091
                                        IF trap_berr='1' THEN
3092
                                                next_micro_state <= trap4;
3093
                                        ELSE
3094
                                                next_micro_state <= trap3;
3095
                                        END IF;
3096
                                WHEN trap3 =>           -- TRAP
3097
                                        set_vectoraddr <= '1';
3098
                                        datatype <= "10";
3099
                                        set(direct_delta) <= '1';
3100
                                        set(directPC) <= '1';
3101
                                        setstate <= "10";
3102
                                        next_micro_state <= nopnop;
3103
 
3104
                                WHEN trap4 =>           -- TRAP
3105
                                        set(presub) <= '1';
3106
                                        setstackaddr <='1';
3107
                                        setstate <= "11";
3108
                                        datatype <= "01";
3109
                                        writeSR <= '1';
3110
                                        next_micro_state <= trap5;
3111
                                WHEN trap5 =>           -- TRAP
3112
                                        set(presub) <= '1';
3113
                                        setstackaddr <='1';
3114
                                        setstate <= "11";
3115
                                        datatype <= "10";
3116
                                        writeSR <= '1';
3117
                                        next_micro_state <= trap6;
3118
                                WHEN trap6 =>           -- TRAP
3119
                                        set(presub) <= '1';
3120
                                        setstackaddr <='1';
3121
                                        setstate <= "11";
3122
                                        datatype <= "01";
3123
                                        writeSR <= '1';
3124
                                        next_micro_state <= trap3;
3125
 
3126
                                WHEN rte1 =>            -- RTE
3127
                                        datatype <= "10";
3128
                                        setstate <= "10";
3129
                                        set(postadd) <= '1';
3130
                                        setstackaddr <= '1';
3131 4 tobiflex
                                        set(directPC) <= '1';
3132
                                        IF VBR_Stackframe=0 OR (cpu(0)='0' AND VBR_Stackframe=2) OR opcode(2)='1' THEN     --opcode(2)='1' => opcode is RTR
3133 2 tobiflex
                                                set(update_FC) <= '1';
3134
                                                set(direct_delta) <= '1';
3135
                                        END IF;
3136
                                        next_micro_state <= rte2;
3137
                                WHEN rte2 =>            -- RTE
3138
                                        datatype <= "01";
3139
                                        set(update_FC) <= '1';
3140 4 tobiflex
                                        IF (VBR_Stackframe=1 OR (cpu(0)='1' AND VBR_Stackframe=2)) AND opcode(2)='0' THEN
3141 2 tobiflex
                                                setstate <= "10";
3142
                                                set(postadd) <= '1';
3143
                                                setstackaddr <= '1';
3144
                                                next_micro_state <= rte3;
3145
                                        ELSE
3146
                                                next_micro_state <= nop;
3147
                                        END IF;
3148
                                WHEN rte3 =>            -- RTE
3149
                                        next_micro_state <= nop;
3150
--                                      set(update_FC) <= '1';
3151
 
3152
 
3153
                                WHEN rtd1 =>            -- RTD
3154
                                        next_micro_state <= rtd2;
3155
                                WHEN rtd2 =>            -- RTD
3156
                                        setstackaddr <= '1';
3157
                                        set(Regwrena) <= '1';
3158
 
3159
                                WHEN movec1 =>          -- MOVEC
3160
                                        set(briefext) <= '1';
3161
                                        set_writePCbig <='1';
3162
                                        IF (brief(11 downto 0)=X"000" OR brief(11 downto 0)=X"001" OR brief(11 downto 0)=X"800" OR brief(11 downto 0)=X"801") OR
3163
                                           (cpu(1)='1' AND (brief(11 downto 0)=X"002" OR brief(11 downto 0)=X"802" OR brief(11 downto 0)=X"803" OR brief(11 downto 0)=X"804")) THEN
3164
                                                IF opcode(0)='0' THEN
3165
                                                        set(Regwrena) <= '1';
3166
                                                END IF;
3167
--                                      ELSIF brief(11 downto 0)=X"800"OR brief(11 downto 0)=X"001" OR brief(11 downto 0)=X"000" THEN
3168
--                                              trap_addr_error <= '1';
3169
--                                              trapmake <= '1';
3170
                                        ELSE
3171
                                                trap_illegal <= '1';
3172
                                                trapmake <= '1';
3173
                                        END IF;
3174
 
3175
                                WHEN movep1 =>          -- MOVEP d(An)
3176
                                        setdisp <= '1';
3177
                                        set(mem_addsub) <= '1';
3178
                                        set(mem_byte) <= '1';
3179
                                        set(OP1addr) <= '1';
3180
                                        IF opcode(6)='1' THEN
3181
                                                set(movepl) <= '1';
3182
                                        END IF;
3183
                                        IF opcode(7)='0' THEN
3184
                                                setstate <= "10";
3185
                                        ELSE
3186
                                                setstate <= "11";
3187
                                        END IF;
3188
                                        next_micro_state <= movep2;
3189
                                WHEN movep2 =>
3190
                                        IF opcode(6)='1' THEN
3191
                                                set(mem_addsub) <= '1';
3192
                                            set(OP1addr) <= '1';
3193
                                        END IF;
3194
                                        IF opcode(7)='0' THEN
3195
                                                setstate <= "10";
3196
                                        ELSE
3197
                                                setstate <= "11";
3198
                                        END IF;
3199
                                        next_micro_state <= movep3;
3200
                                WHEN movep3 =>
3201
                                        IF opcode(6)='1' THEN
3202
                                                set(mem_addsub) <= '1';
3203
                                            set(OP1addr) <= '1';
3204
                                                set(mem_byte) <= '1';
3205
                                                IF opcode(7)='0' THEN
3206
                                                        setstate <= "10";
3207
                                                ELSE
3208
                                                        setstate <= "11";
3209
                                                END IF;
3210
                                                next_micro_state <= movep4;
3211
                                        ELSE
3212
                                                datatype <= "01";               --Word
3213
                                        END IF;
3214
                                WHEN movep4 =>
3215
                                        IF opcode(7)='0' THEN
3216
                                                setstate <= "10";
3217
                                        ELSE
3218
                                                setstate <= "11";
3219
                                        END IF;
3220
                                        next_micro_state <= movep5;
3221
                                WHEN movep5 =>
3222
                                        datatype <= "10";               --Long
3223
 
3224
                                WHEN mul1       =>              -- mulu
3225
                                        IF opcode(15)='1' OR MUL_Mode=0 THEN
3226
                                                set_rot_cnt <= "001110";
3227
                                        ELSE
3228
                                                set_rot_cnt <= "011110";
3229
                                        END IF;
3230
                                        setstate <="01";
3231
                                        next_micro_state <= mul2;
3232
                                WHEN mul2       =>              -- mulu
3233
                                        setstate <="01";
3234
                                        IF rot_cnt="00001" THEN
3235
                                                next_micro_state <= mul_end1;
3236
                                        ELSE
3237
                                                next_micro_state <= mul2;
3238
                                        END IF;
3239
                                WHEN mul_end1   =>              -- mulu
3240
                                        datatype <= "10";
3241
                                        set(opcMULU) <= '1';
3242
                                        IF opcode(15)='0' AND (MUL_Mode=1 OR MUL_Mode=2) THEN
3243
                                                dest_2ndHbits <= '1';
3244
--                                              source_2ndLbits <= '1';--???
3245
                                                set(write_lowlong) <= '1';
3246
                                                IF sndOPC(10)='1' THEN
3247
                                                        setstate <="01";
3248
                                                        next_micro_state <= mul_end2;
3249
                                                END IF;
3250
                                                set(Regwrena) <= '1';
3251
                                        END IF;
3252
                                        datatype <= "10";
3253
                                WHEN mul_end2   =>              -- divu
3254
                                        set(write_reminder) <= '1';
3255
                                        set(Regwrena) <= '1';
3256
                                        set(opcMULU) <= '1';
3257
 
3258
                                WHEN div1       =>              -- divu
3259
                                        setstate <="01";
3260
                                        next_micro_state <= div2;
3261
                                WHEN div2       =>              -- divu
3262
                                        IF (OP2out(31 downto 16)=x"0000" OR opcode(15)='1' OR DIV_Mode=0) AND OP2out(15 downto 0)=x"0000" THEN            --div zero
3263
                                                set_Z_error <= '1';
3264
                                        ELSE
3265
                                                next_micro_state <= div3;
3266
                                        END IF;
3267
                                        set(ld_rot_cnt) <= '1';
3268
                                        setstate <="01";
3269
                                WHEN div3       =>              -- divu
3270
                                        IF opcode(15)='1' OR DIV_Mode=0 THEN
3271
                                                set_rot_cnt <= "001101";
3272
                                        ELSE
3273
                                                set_rot_cnt <= "011101";
3274
                                        END IF;
3275
                                        setstate <="01";
3276
                                        next_micro_state <= div4;
3277
                                WHEN div4       =>              -- divu
3278
                                        setstate <="01";
3279
                                        IF rot_cnt="00001" THEN
3280
                                                next_micro_state <= div_end1;
3281
                                        ELSE
3282
                                                next_micro_state <= div4;
3283
                                        END IF;
3284
                                WHEN div_end1   =>              -- divu
3285
                                        IF opcode(15)='0' AND (DIV_Mode=1 OR DIV_Mode=2) THEN
3286
                                                set(write_reminder) <= '1';
3287
                                                next_micro_state <= div_end2;
3288
                                                setstate <="01";
3289
                                        END IF;
3290
                                        set(opcDIVU) <= '1';
3291
                                        datatype <= "10";
3292
                                WHEN div_end2   =>              -- divu
3293
                                        dest_2ndHbits <= '1';
3294
                                        source_2ndLbits <= '1';--???
3295
                                        set(opcDIVU) <= '1';
3296
 
3297
                                WHEN rota1      =>
3298
                                        IF OP2out(5 downto 0)/="000000" THEN
3299
                                                set_rot_cnt <= OP2out(5 downto 0);
3300
                                        ELSE
3301
                                                set_exec(rot_nop) <= '1';
3302
                                        END IF;
3303
 
3304
                                WHEN bf1 =>
3305
                                        setstate <="10";
3306
 
3307
                                WHEN OTHERS => NULL;
3308
                        END CASE;
3309
        END PROCESS;
3310
 
3311
-----------------------------------------------------------------------------
3312
-- MOVEC
3313
-----------------------------------------------------------------------------
3314
  process (clk, VBR, CACR, brief)
3315
  begin
3316
        -- all other hexa codes should give illegal isntruction exception
3317
        if rising_edge(clk) then
3318
          if Reset = '1' then
3319
                VBR <= (others => '0');
3320
                CACR <= (others => '0');
3321
          elsif clkena_lw = '1' and exec(movec_wr) = '1' then
3322
                case brief(11 downto 0) is
3323
                  when X"000" => NULL; -- SFC -- 68010+
3324
                  when X"001" => NULL; -- DFC -- 68010+
3325
                  when X"002" => CACR <= reg_QA(3 downto 0); -- 68020+
3326
                  when X"800" => NULL; -- USP -- 68010+
3327
                  when X"801" => VBR <= reg_QA; -- 68010+
3328
                  when X"802" => NULL; -- CAAR -- 68020+
3329
                  when X"803" => NULL; -- MSP -- 68020+
3330
                  when X"804" => NULL; -- isP -- 68020+
3331
                  when others => NULL;
3332
                end case;
3333
          end if;
3334
        end if;
3335
 
3336
        movec_data <= (others => '0');
3337
        case brief(11 downto 0) is
3338
          when X"002" => movec_data <= "0000000000000000000000000000" & (CACR AND "0011");
3339
 
3340
          when X"801" => --if VBR_Stackframe=1 or (cpu(0)='1' and VBR_Stackframe=2) then
3341
                movec_data <= VBR;
3342
                --end if;
3343
          when others => NULL;
3344
        end case;
3345
  end process;
3346
 
3347
  CACR_out <= CACR;
3348
  VBR_out <= VBR;
3349
-----------------------------------------------------------------------------
3350
-- Conditions
3351
-----------------------------------------------------------------------------
3352
PROCESS (exe_opcode, Flags)
3353
        BEGIN
3354
                CASE exe_opcode(11 downto 8) IS
3355
                        WHEN X"0" => exe_condition <= '1';
3356
                        WHEN X"1" => exe_condition <= '0';
3357
                        WHEN X"2" => exe_condition <=  NOT Flags(0) AND NOT Flags(2);
3358
                        WHEN X"3" => exe_condition <= Flags(0) OR Flags(2);
3359
                        WHEN X"4" => exe_condition <= NOT Flags(0);
3360
                        WHEN X"5" => exe_condition <= Flags(0);
3361
                        WHEN X"6" => exe_condition <= NOT Flags(2);
3362
                        WHEN X"7" => exe_condition <= Flags(2);
3363
                        WHEN X"8" => exe_condition <= NOT Flags(1);
3364
                        WHEN X"9" => exe_condition <= Flags(1);
3365
                        WHEN X"a" => exe_condition <= NOT Flags(3);
3366
                        WHEN X"b" => exe_condition <= Flags(3);
3367
                        WHEN X"c" => exe_condition <= (Flags(3) AND Flags(1)) OR (NOT Flags(3) AND NOT Flags(1));
3368
                        WHEN X"d" => exe_condition <= (Flags(3) AND NOT Flags(1)) OR (NOT Flags(3) AND Flags(1));
3369
                        WHEN X"e" => exe_condition <= (Flags(3) AND Flags(1) AND NOT Flags(2)) OR (NOT Flags(3) AND NOT Flags(1) AND NOT Flags(2));
3370
                        WHEN X"f" => exe_condition <= (Flags(3) AND NOT Flags(1)) OR (NOT Flags(3) AND Flags(1)) OR Flags(2);
3371
                        WHEN OTHERS => NULL;
3372
                END CASE;
3373
        END PROCESS;
3374
 
3375
-----------------------------------------------------------------------------
3376
-- Movem
3377
-----------------------------------------------------------------------------
3378
PROCESS (clk)
3379
        BEGIN
3380
                IF rising_edge(clk) THEN
3381
                        IF clkena_lw='1' THEN
3382
                                movem_actiond <= exec(movem_action);
3383
                                IF decodeOPC='1' THEN
3384
                                        sndOPC <= data_read(15 downto 0);
3385
                                ELSIF exec(movem_action)='1' OR set(movem_action) ='1' THEN
3386
                                        CASE movem_regaddr IS
3387
                                                WHEN "0000" => sndOPC(0)  <= '0';
3388
                                                WHEN "0001" => sndOPC(1)  <= '0';
3389
                                                WHEN "0010" => sndOPC(2)  <= '0';
3390
                                                WHEN "0011" => sndOPC(3)  <= '0';
3391
                                                WHEN "0100" => sndOPC(4)  <= '0';
3392
                                                WHEN "0101" => sndOPC(5)  <= '0';
3393
                                                WHEN "0110" => sndOPC(6)  <= '0';
3394
                                                WHEN "0111" => sndOPC(7)  <= '0';
3395
                                                WHEN "1000" => sndOPC(8)  <= '0';
3396
                                                WHEN "1001" => sndOPC(9)  <= '0';
3397
                                                WHEN "1010" => sndOPC(10) <= '0';
3398
                                                WHEN "1011" => sndOPC(11) <= '0';
3399
                                                WHEN "1100" => sndOPC(12) <= '0';
3400
                                                WHEN "1101" => sndOPC(13) <= '0';
3401
                                                WHEN "1110" => sndOPC(14) <= '0';
3402
                                                WHEN "1111" => sndOPC(15) <= '0';
3403
                                                WHEN OTHERS => NULL;
3404
                                        END CASE;
3405
                                END IF;
3406
                        END IF;
3407
                END IF;
3408
        END PROCESS;
3409
 
3410
PROCESS (sndOPC, movem_mux)
3411
        BEGIN
3412
                movem_regaddr <="0000";
3413
                movem_run <= '1';
3414
                IF sndOPC(3 downto 0)="0000" THEN
3415
                        IF sndOPC(7 downto 4)="0000" THEN
3416
                                movem_regaddr(3) <= '1';
3417
                                IF sndOPC(11 downto 8)="0000" THEN
3418
                                        IF sndOPC(15 downto 12)="0000" THEN
3419
                                                movem_run <= '0';
3420
                                        END IF;
3421
                                        movem_regaddr(2) <= '1';
3422
                                        movem_mux <= sndOPC(15 downto 12);
3423
                                ELSE
3424
                                        movem_mux <= sndOPC(11 downto 8);
3425
                                END IF;
3426
                        ELSE
3427
                                movem_mux <= sndOPC(7 downto 4);
3428
                                movem_regaddr(2) <= '1';
3429
                        END IF;
3430
                ELSE
3431
                        movem_mux <= sndOPC(3 downto 0);
3432
                END IF;
3433
                IF movem_mux(1 downto 0)="00" THEN
3434
                        movem_regaddr(1) <= '1';
3435
                        IF movem_mux(2)='0' THEN
3436
                                movem_regaddr(0) <= '1';
3437
                        END IF;
3438
                ELSE
3439
                        IF movem_mux(0)='0' THEN
3440
                                movem_regaddr(0) <= '1';
3441
                        END IF;
3442
                END  IF;
3443
        END PROCESS;
3444
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.