OpenCores
URL https://opencores.org/ocsvn/tg68kc/tg68kc/trunk

Subversion Repositories tg68kc

[/] [tg68kc/] [trunk/] [TG68KdotC_Kernel.vhd] - Blame information for rev 9

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tobiflex
------------------------------------------------------------------------------
2
------------------------------------------------------------------------------
3
--                                                                          --
4 4 tobiflex
-- Copyright (c) 2009-2019 Tobias Gubener                                   -- 
5
-- Patches by MikeJ, Till Harbaum, Rok Krajnk, ...                          --
6 2 tobiflex
-- Subdesign fAMpIGA by TobiFlex                                            --
7
--                                                                          --
8
-- This source file is free software: you can redistribute it and/or modify --
9
-- it under the terms of the GNU Lesser General Public License as published --
10
-- by the Free Software Foundation, either version 3 of the License, or     --
11
-- (at your option) any later version.                                      --
12
--                                                                          --
13
-- This source file is distributed in the hope that it will be useful,      --
14
-- but WITHOUT ANY WARRANTY; without even the implied warranty of           --
15
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            --
16
-- GNU General Public License for more details.                             --
17
--                                                                          --
18
-- You should have received a copy of the GNU General Public License        --
19
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.    --
20
--                                                                          --
21
------------------------------------------------------------------------------
22
------------------------------------------------------------------------------
23
 
24 9 tobiflex
-- 04.11.2019 TG insert RTE from TH
25 8 tobiflex
-- 03.11.2019 TG insert TrapV from TH 
26
-- 03.11.2019 TG bugfix MUL 64Bit 
27 7 tobiflex
-- 03.11.2019 TG rework barrel shifter - some other tweaks
28
-- 02.11.2019 TG bugfig N-Flag and Z-Flag for DIV
29 4 tobiflex
-- 30.10.2019 TG bugfix RTR in 68020-mode
30
-- 30.10.2019 TG bugfix BFINS again
31
-- 19.10.2019 TG insert some bugfixes from apolkosnik
32 2 tobiflex
-- 05.12.2018 TG insert RTD opcode
33
-- 03.12.2018 TG insert barrel shifter
34
-- 01.11.2017 TG bugfix V-Flag for ASL/ASR - thanks Peter Graf
35
-- 29.05.2017 TG decode 0x4AFB as illegal, needed for QL BKP - thanks Peter Graf
36
-- 21.05.2017 TG insert generic for hardware multiplier for MULU & MULS
37
-- 04.04.2017 TG change GPL to LGPL
38
-- 04.04.2017 TG BCD handling with all undefined behavior! 
39
-- 02.04.2017 TG bugfix Bitfield Opcodes 
40
-- 19.03.2017 TG insert PACK/UNPACK  
41
-- 19.03.2017 TG bugfix CMPI ...(PC) - thanks Till Harbaum
42
--     ???    MJ bugfix non_aligned movem access
43
-- add berr handling 10.03.2013 - needed for ATARI Core
44
 
45
-- bugfix session 07/08.Feb.2013
46
-- movem ,-(an)
47
-- movem (an)+,          - thanks  Gerhard Suttner
48
-- btst dn,#data         - thanks  Peter Graf
49
-- movep                 - thanks  Till Harbaum
50
-- IPL vector            - thanks  Till Harbaum
51
--  
52
 
53
-- optimize Register file
54
 
55
-- to do 68010:
56
-- (MOVEC)
57
-- BKPT
58
-- MOVES
59
--
60
-- to do 68020:
61
-- (CALLM)
62
-- (RETM)
63
 
64
-- CAS, CAS2
65
-- CHK2
66
-- CMP2
67
-- cpXXX Coprozessor stuff
68
-- TRAPcc
69
 
70
-- done 020:
71
-- PACK
72
-- UNPK
73
-- Bitfields
74
-- address modes
75
-- long bra
76
-- DIVS.L, DIVU.L
77
-- LINK long
78
-- MULS.L, MULU.L
79
-- extb.l
80
 
81
library ieee;
82
use ieee.std_logic_1164.all;
83
use ieee.std_logic_unsigned.all;
84
use work.TG68K_Pack.all;
85
 
86
entity TG68KdotC_Kernel is
87
        generic(
88 5 tobiflex
                SR_Read : integer:= 1;                          --0=>user,              1=>privileged,          2=>switchable with CPU(0)
89
                VBR_Stackframe : integer:= 1;           --0=>no,                        1=>yes/extended,        2=>switchable with CPU(0)
90
                extAddr_Mode : integer:= 1;             --0=>no,                        1=>yes,                         2=>switchable with CPU(1)
91
                MUL_Mode : integer := 1;                        --0=>16Bit,             1=>32Bit,                       2=>switchable with CPU(1),  3=>no MUL,  
92 8 tobiflex
                MUL_Hardware : integer := 0;             --0=>no,                        1=>yes,  
93 5 tobiflex
                DIV_Mode : integer := 1;                        --0=>16Bit,             1=>32Bit,                       2=>switchable with CPU(1),  3=>no DIV,  
94
                BarrelShifter : integer := 2;           --0=>no,                        1=>yes,                         2=>switchable with CPU(1)  
95
                BitField : integer := 1                         --0=>no,                        1=>yes,                         2=>switchable with CPU(1)  
96
--              SR_Read : integer:= 0;                          --0=>user,              1=>privileged,          2=>switchable with CPU(0)
97
--              VBR_Stackframe : integer:= 0;           --0=>no,                        1=>yes/extended,        2=>switchable with CPU(0)
98
--              extAddr_Mode : integer:= 0;             --0=>no,                        1=>yes,                         2=>switchable with CPU(1)
99
--              MUL_Mode : integer := 0;                        --0=>16Bit,             1=>32Bit,                       2=>switchable with CPU(1),  3=>no MUL,  
100
--              MUL_Hardware : integer := 1;            --0=>no,                        1=>yes,  
101
--              DIV_Mode : integer := 0;                        --0=>16Bit,             1=>32Bit,                       2=>switchable with CPU(1),  3=>no DIV,  
102
--              BarrelShifter : integer := 0;           --0=>no,                        1=>yes,                         2=>switchable with CPU(1)  
103
--              BitField : integer := 0                         --0=>no,                        1=>yes,                         2=>switchable with CPU(1)  
104 2 tobiflex
                );
105 5 tobiflex
        port(clk                                                : in std_logic;
106
                nReset                                  : in std_logic;                 --low active
107
                clkena_in                               : in std_logic:='1';
108
                data_in                                 : in std_logic_vector(15 downto 0);
109
                IPL                                             : in std_logic_vector(2 downto 0):="111";
110
                IPL_autovector                  : in std_logic:='0';
111
                berr                                            : in std_logic:='0';                                     -- only 68000 Stackpointer dummy
112
                CPU                                             : in std_logic_vector(1 downto 0):="00";  -- 00->68000  01->68010  11->68020(only some parts - yet)
113
                addr_out                                        : out std_logic_vector(31 downto 0);
114
                data_write                              : out std_logic_vector(15 downto 0);
115
                nWr                                             : out std_logic;
116
                nUDS                                            : out std_logic;
117
                nLDS                                            : out std_logic;
118
                busstate                                        : out std_logic_vector(1 downto 0);      -- 00-> fetch code 10->read data 11->write data 01->no memaccess
119
                nResetOut                               : out std_logic;
120
                FC                                                      : out std_logic_vector(2 downto 0);
121
                clr_berr                                        : out std_logic;
122
-- for debug
123
                skipFetch                               : out std_logic;
124
                regin_out                               : out std_logic_vector(31 downto 0);
125
                CACR_out                                        : out std_logic_vector( 3 downto 0);
126
                VBR_out                                 : out std_logic_vector(31 downto 0)
127 4 tobiflex
                );
128 2 tobiflex
end TG68KdotC_Kernel;
129
 
130
architecture logic of TG68KdotC_Kernel is
131
 
132
 
133 4 tobiflex
        signal syncReset                        : std_logic_vector(3 downto 0);
134
        signal Reset                            : std_logic;
135
        signal clkena_lw                        : std_logic;
136
        signal TG68_PC                          : std_logic_vector(31 downto 0);
137
        signal tmp_TG68_PC              : std_logic_vector(31 downto 0);
138
        signal TG68_PC_add              : std_logic_vector(31 downto 0);
139
        signal PC_dataa                 : std_logic_vector(31 downto 0);
140
        signal PC_datab                 : std_logic_vector(31 downto 0);
141
        signal memaddr                          : std_logic_vector(31 downto 0);
142
        signal state                            : std_logic_vector(1 downto 0);
143
        signal datatype                 : std_logic_vector(1 downto 0);
144
        signal set_datatype             : std_logic_vector(1 downto 0);
145
        signal exe_datatype             : std_logic_vector(1 downto 0);
146
        signal setstate                 : std_logic_vector(1 downto 0);
147 2 tobiflex
 
148 4 tobiflex
        signal opcode                           : std_logic_vector(15 downto 0);
149
        signal exe_opcode                       : std_logic_vector(15 downto 0);
150
        signal sndOPC                           : std_logic_vector(15 downto 0);
151 2 tobiflex
 
152 8 tobiflex
  signal exe_pc                 : std_logic_vector(31 downto 0);--TH
153
  signal last_opc_pc            : std_logic_vector(31 downto 0);--TH
154 4 tobiflex
        signal last_opc_read            : std_logic_vector(15 downto 0);
155
        signal registerin                       : std_logic_vector(31 downto 0);
156
        signal reg_QA                           : std_logic_vector(31 downto 0);
157
        signal reg_QB                           : std_logic_vector(31 downto 0);
158
        signal Wwrena,Lwrena            : bit;
159
        signal Bwrena                           : bit;
160
        signal Regwrena_now             : bit;
161 2 tobiflex
        signal rf_dest_addr             : std_logic_vector(3 downto 0);
162
        signal rf_source_addr   : std_logic_vector(3 downto 0);
163
        signal rf_source_addrd  : std_logic_vector(3 downto 0);
164
 
165 4 tobiflex
        signal regin                            : std_logic_vector(31 downto 0);
166
        type   regfile_t is array(0 to 15) of std_logic_vector(31 downto 0);
167
        signal regfile                          : regfile_t := (OTHERS => (OTHERS => '0')); -- mikej stops sim X issues;
168
        signal RDindex_A                        : integer range 0 to 15;
169
        signal RDindex_B                        : integer range 0 to 15;
170
        signal WR_AReg                          : std_logic;
171 2 tobiflex
 
172
 
173 4 tobiflex
        signal addr                                     : std_logic_vector(31 downto 0);
174
        signal memaddr_reg              : std_logic_vector(31 downto 0);
175
        signal memaddr_delta            : std_logic_vector(31 downto 0);
176
        signal use_base                 : bit;
177 2 tobiflex
 
178 4 tobiflex
        signal ea_data                          : std_logic_vector(31 downto 0);
179
        signal OP1out                           : std_logic_vector(31 downto 0);
180
        signal OP2out                           : std_logic_vector(31 downto 0);
181
        signal OP1outbrief              : std_logic_vector(15 downto 0);
182
        signal OP1in                            : std_logic_vector(31 downto 0);
183
        signal ALUout   : std_logic_vector(31 downto 0);
184
        signal data_write_tmp   : std_logic_vector(31 downto 0);
185
        signal data_write_muxin : std_logic_vector(31 downto 0);
186
        signal data_write_mux   : std_logic_vector(47 downto 0);
187
        signal nextpass                 : bit;
188
        signal setnextpass              : bit;
189
        signal setdispbyte              : bit;
190
        signal setdisp                          : bit;
191
        signal regdirectsource  :bit;           -- checken !!!
192
        signal addsub_q                 : std_logic_vector(31 downto 0);
193
        signal briefdata                        : std_logic_vector(31 downto 0);
194
--      signal c_in                             : std_logic_vector(3 downto 0);
195
        signal c_out                            : std_logic_vector(2 downto 0);
196 2 tobiflex
 
197 4 tobiflex
        signal mem_address              : std_logic_vector(31 downto 0);
198
        signal memaddr_a                        : std_logic_vector(31 downto 0);
199 2 tobiflex
 
200 4 tobiflex
        signal TG68_PC_brw              : bit;
201
        signal TG68_PC_word             : bit;
202
        signal getbrief                 : bit;
203
        signal brief                            : std_logic_vector(15 downto 0);
204
        signal dest_areg                        : std_logic;
205
        signal source_areg              : std_logic;
206
        signal data_is_source   : bit;
207
        signal store_in_tmp             : bit;
208
        signal write_back                       : bit;
209
        signal exec_write_back  : bit;
210
        signal setstackaddr             : bit;
211
        signal writePC                          : bit;
212
        signal writePCbig                       : bit;
213
        signal set_writePCbig   : bit;
214
        signal setopcode                        : bit;
215
        signal decodeOPC                        : bit;
216
        signal execOPC                          : bit;
217
        signal setexecOPC                       : bit;
218
        signal endOPC                           : bit;
219
        signal setendOPC                        : bit;
220
        signal Flags                            : std_logic_vector(7 downto 0);  -- ...XNZVC
221
        signal FlagsSR                          : std_logic_vector(7 downto 0);  -- T.S.0III
222
        signal SRin                                     : std_logic_vector(7 downto 0);
223
        signal exec_DIRECT              : bit;
224
        signal exec_tas                 : std_logic;
225
        signal set_exec_tas             : std_logic;
226 2 tobiflex
 
227 4 tobiflex
        signal exe_condition            : std_logic;
228
        signal ea_only                          : bit;
229
        signal source_lowbits   : bit;
230
        signal source_2ndHbits  : bit;
231
        signal source_2ndLbits  : bit;
232
        signal dest_2ndHbits            : bit;
233
        signal dest_hbits                       : bit;
234
        signal rot_bits                 : std_logic_vector(1 downto 0);
235
        signal set_rot_bits             : std_logic_vector(1 downto 0);
236
        signal rot_cnt                          : std_logic_vector(5 downto 0);
237
        signal set_rot_cnt              : std_logic_vector(5 downto 0);
238
        signal movem_actiond            : bit;
239
        signal movem_regaddr            : std_logic_vector(3 downto 0);
240
        signal movem_mux                        : std_logic_vector(3 downto 0);
241
        signal movem_presub             : bit;
242
        signal movem_run                        : bit;
243
        signal ea_calc_b                        : std_logic_vector(31 downto 0);
244
        signal set_direct_data  : bit;
245
        signal use_direct_data  : bit;
246
        signal direct_data              : bit;
247 2 tobiflex
 
248 4 tobiflex
        signal set_V_Flag                       : bit;
249
        signal set_vectoraddr   : bit;
250
        signal writeSR                          : bit;
251
        signal trap_berr                        : bit;
252
        signal trap_illegal             : bit;
253
        signal trap_addr_error  : bit;
254
        signal trap_priv                        : bit;
255
        signal trap_trace                       : bit;
256
        signal trap_1010                        : bit;
257
        signal trap_1111                        : bit;
258
        signal trap_trap                        : bit;
259
        signal trap_trapv                       : bit;
260
        signal trap_interrupt   : bit;
261
        signal trapmake                 : bit;
262
        signal trapd                            : bit;
263
        signal trap_SR                          : std_logic_vector(7 downto 0);
264
        signal make_trace                       : std_logic;
265
        signal make_berr                        : std_logic;
266 2 tobiflex
 
267 4 tobiflex
        signal set_stop                 : bit;
268
        signal stop                                     : bit;
269
        signal trap_vector              : std_logic_vector(31 downto 0);
270
        signal trap_vector_vbr  : std_logic_vector(31 downto 0);
271
        signal USP                                      : std_logic_vector(31 downto 0);
272
--      signal illegal_write_mode       : bit;
273
--      signal illegal_read_mode        : bit;
274
--      signal illegal_byteaddr         : bit;
275 2 tobiflex
 
276 4 tobiflex
        signal IPL_nr                           : std_logic_vector(2 downto 0);
277
        signal rIPL_nr                          : std_logic_vector(2 downto 0);
278
        signal IPL_vec                          : std_logic_vector(7 downto 0);
279
        signal interrupt                        : bit;
280
        signal setinterrupt             : bit;
281
        signal SVmode                           : std_logic;
282
        signal preSVmode                        : std_logic;
283
        signal Suppress_Base            : bit;
284
        signal set_Suppress_Base: bit;
285
        signal set_Z_error              : bit;
286
        signal Z_error                  : bit;
287
        signal ea_build_now             : bit;
288
        signal build_logical            : bit;
289
        signal build_bcd                        : bit;
290 2 tobiflex
 
291 4 tobiflex
        signal data_read                        : std_logic_vector(31 downto 0);
292
        signal bf_ext_in                        : std_logic_vector(7 downto 0);
293
        signal bf_ext_out                       : std_logic_vector(7 downto 0);
294
--      signal byte                                     : bit;
295
        signal long_start                       : bit;
296 2 tobiflex
        signal long_start_alu   : bit;
297 4 tobiflex
        signal non_aligned              : std_logic;
298
        signal long_done                        : bit;
299
        signal memmask                          : std_logic_vector(5 downto 0);
300
        signal set_memmask              : std_logic_vector(5 downto 0);
301
        signal memread                          : std_logic_vector(3 downto 0);
302
        signal wbmemmask                        : std_logic_vector(5 downto 0);
303
        signal memmaskmux                       : std_logic_vector(5 downto 0);
304
        signal oddout                           : std_logic;
305
        signal set_oddout                       : std_logic;
306
        signal PCbase                           : std_logic;
307
        signal set_PCbase                       : std_logic;
308 2 tobiflex
 
309 4 tobiflex
        signal last_data_read   : std_logic_vector(31 downto 0);
310
        signal last_data_in             : std_logic_vector(31 downto 0);
311 2 tobiflex
 
312 4 tobiflex
        signal bf_offset                        : std_logic_vector(5 downto 0);
313
        signal bf_width                 : std_logic_vector(5 downto 0);
314
        signal bf_bhits                 : std_logic_vector(5 downto 0);
315
        signal bf_shift                 : std_logic_vector(5 downto 0);
316
        signal alu_width                        : std_logic_vector(5 downto 0);
317
        signal alu_bf_shift             : std_logic_vector(5 downto 0);
318
        signal bf_loffset                       : std_logic_vector(5 downto 0);
319
        signal bf_full_offset   : std_logic_vector(31 downto 0);
320
        signal alu_bf_ffo_offset: std_logic_vector(31 downto 0);
321
        signal alu_bf_loffset   : std_logic_vector(5 downto 0);
322 2 tobiflex
 
323 4 tobiflex
        signal movec_data                       : std_logic_vector(31 downto 0);
324
        signal VBR                                      : std_logic_vector(31 downto 0);
325
        signal CACR                                     : std_logic_vector(3 downto 0);
326
        signal DFC                                      : std_logic_vector(2 downto 0);
327
        signal SFC                                      : std_logic_vector(2 downto 0);
328 2 tobiflex
 
329
 
330 4 tobiflex
        signal set                                      : bit_vector(lastOpcBit downto 0);
331
        signal set_exec                 : bit_vector(lastOpcBit downto 0);
332
        signal exec                                     : bit_vector(lastOpcBit downto 0);
333 2 tobiflex
 
334
        signal micro_state              : micro_states;
335
        signal next_micro_state : micro_states;
336
 
337
 
338
 
339
BEGIN
340
 
341
ALU: TG68K_ALU
342
        generic map(
343 4 tobiflex
                MUL_Mode => MUL_Mode,                           --0=>16Bit,     1=>32Bit,       2=>switchable with CPU(1),              3=>no MUL,
344
                MUL_Hardware => MUL_Hardware,           --0=>no,                1=>yes,
345
                DIV_Mode => DIV_Mode,                           --0=>16Bit,     1=>32Bit,       2=>switchable with CPU(1),              3=>no DIV,
346
                BarrelShifter => BarrelShifter  --0=>no,                1=>yes,         2=>switchable with CPU(1)  
347 2 tobiflex
                )
348 5 tobiflex
        port map(
349
                clk => clk,                                                             --: in std_logic;
350
                Reset => Reset,                                         --: in std_logic;
351
                clkena_lw => clkena_lw,                         --: in std_logic:='1';
352
                execOPC => execOPC,                                     --: in bit;
353
                decodeOPC => decodeOPC,                         --: in bit;
354
                exe_condition => exe_condition, --: in std_logic;
355
                exec_tas => exec_tas,                           --: in std_logic;
356
                long_start => long_start_alu,           --: in bit;
357
                non_aligned => non_aligned,
358
                movem_presub => movem_presub,           --: in bit;
359
                set_stop => set_stop,                           --: in bit;
360
                Z_error => Z_error,                                     --: in bit;
361 2 tobiflex
 
362 5 tobiflex
                rot_bits => rot_bits,                           --: in std_logic_vector(1 downto 0);
363
                exec => exec,                                                   --: in bit_vector(lastOpcBit downto 0);
364
                OP1out => OP1out,                                               --: in std_logic_vector(31 downto 0);
365
                OP2out => OP2out,                                               --: in std_logic_vector(31 downto 0);
366
                reg_QA => reg_QA,                                               --: in std_logic_vector(31 downto 0);
367
                reg_QB => reg_QB,                                               --: in std_logic_vector(31 downto 0);
368
                opcode => opcode,                                               --: in std_logic_vector(15 downto 0);
369
                exe_opcode => exe_opcode,                       --: in std_logic_vector(15 downto 0);
370
                exe_datatype => exe_datatype,           --: in std_logic_vector(1 downto 0);
371
                sndOPC => sndOPC,                                               --: in std_logic_vector(15 downto 0);
372
                last_data_read => last_data_read(15 downto 0),   --: in std_logic_vector(31 downto 0);
373
                data_read => data_read(15 downto 0),                             --: in std_logic_vector(31 downto 0);
374
                FlagsSR => FlagsSR,                                     --: in std_logic_vector(7 downto 0);
375
                micro_state => micro_state,             --: in micro_states;  
376
                bf_ext_in => bf_ext_in,
377
                bf_ext_out => bf_ext_out,
378
                bf_shift => alu_bf_shift,
379
                bf_width => alu_width,
380
                bf_ffo_offset => alu_bf_ffo_offset,
381
                bf_loffset => alu_bf_loffset(4 downto 0),
382
 
383
                set_V_Flag => set_V_Flag,                       --: buffer bit;
384
                Flags => Flags,                                         --: buffer std_logic_vector(8 downto 0);
385
                c_out => c_out,                                         --: buffer std_logic_vector(2 downto 0);
386
                addsub_q => addsub_q,                           --: buffer std_logic_vector(31 downto 0);
387
                ALUout => ALUout                                                --: buffer std_logic_vector(31 downto 0)
388
        );
389
 
390
        long_start_alu <= to_bit(NOT memmaskmux(3));
391
 
392
        process (memmaskmux)
393
        begin
394
                non_aligned <= '0';
395
                if (memmaskmux(5 downto 4) = "01") or (memmaskmux(5 downto 4) = "10") then
396
                        non_aligned <= '1';
397
                end if;
398
        end process;
399 2 tobiflex
-----------------------------------------------------------------------------
400
-- Bus control
401
-----------------------------------------------------------------------------
402 4 tobiflex
   regin_out <= regin;
403
 
404
 
405 2 tobiflex
        nWr <= '0' WHEN state="11" ELSE '1';
406
        busstate <= state;
407
        nResetOut <= '0' WHEN exec(opcRESET)='1' ELSE '1';
408
 
409 5 tobiflex
        -- does shift for byte access. note active low me
410
        -- should produce address error on 68000
411
        memmaskmux <= memmask when addr(0) = '1' else memmask(4 downto 0) & '1';
412 2 tobiflex
        nUDS <= memmaskmux(5);
413
        nLDS <= memmaskmux(4);
414
        clkena_lw <= '1' WHEN clkena_in='1' AND memmaskmux(3)='1' ELSE '0';
415
        clr_berr <= '1' WHEN setopcode='1' AND trap_berr='1' ELSE '0';
416
 
417
        PROCESS (clk, nReset)
418
        BEGIN
419
                IF nReset='0' THEN
420
                        syncReset <= "0000";
421
                        Reset <= '1';
422
                ELSIF rising_edge(clk) THEN
423
                        IF clkena_in='1' THEN
424
                                syncReset <= syncReset(2 downto 0)&'1';
425
                                Reset <= NOT syncReset(3);
426
                        END IF;
427
                END IF;
428
        END PROCESS;
429
 
430
PROCESS (clk, long_done, last_data_in, data_in, addr, long_start, memmaskmux, memread, memmask, data_read)
431
        BEGIN
432
                IF memmaskmux(4)='0' THEN
433
                        data_read <= last_data_in(15 downto 0)&data_in;
434
                ELSE
435
                        data_read <= last_data_in(23 downto 0)&data_in(15 downto 8);
436
                END IF;
437
                IF memread(0)='1' OR (memread(1 downto 0)="10" AND memmaskmux(4)='1')THEN
438
                        data_read(31 downto 16) <= (OTHERS=>data_read(15));
439
                END IF;
440
 
441
                IF rising_edge(clk) THEN
442
                        IF clkena_lw='1' AND state="10" THEN
443
                                IF memmaskmux(4)='0' THEN
444
                                        bf_ext_in <= last_data_in(23 downto 16);
445
                                ELSE
446
                                        bf_ext_in <= last_data_in(31 downto 24);
447
                                END IF;
448
                        END IF;
449
                        IF Reset='1' THEN
450
                                last_data_read <= (OTHERS => '0');
451
                        ELSIF clkena_in='1' THEN
452
                                IF state="00" OR exec(update_ld)='1' THEN
453
                                        last_data_read <= data_read;
454
                                        IF state(1)='0' AND memmask(1)='0' THEN
455
                                                last_data_read(31 downto 16) <= last_opc_read;
456
                                        ELSIF state(1)='0' OR memread(1)='1' THEN
457
                                                last_data_read(31 downto 16) <= (OTHERS=>data_in(15));
458
                                        END IF;
459
                                END IF;
460
                                last_data_in <= last_data_in(15 downto 0)&data_in(15 downto 0);
461
 
462
                        END IF;
463
                END IF;
464
                                long_start <= to_bit(NOT memmask(1));
465
                                long_done <= to_bit(NOT memread(1));
466
        END PROCESS;
467
 
468
PROCESS (long_start, reg_QB, data_write_tmp, exec, data_read, data_write_mux, memmaskmux, bf_ext_out,
469
                 data_write_muxin, memmask, oddout, addr)
470
        BEGIN
471
                IF exec(write_reg)='1' THEN
472
                        data_write_muxin <= reg_QB;
473
                ELSE
474
                        data_write_muxin <= data_write_tmp;
475
                END IF;
476
 
477
                IF BitField=0 THEN
478
                        IF oddout=addr(0) THEN
479
                                data_write_mux <= "--------"&"--------"&data_write_muxin;
480
                        ELSE
481
                                data_write_mux <= "--------"&data_write_muxin&"--------";
482
                        END IF;
483
                ELSE
484
                        IF oddout=addr(0) THEN
485
                                data_write_mux <= "--------"&bf_ext_out&data_write_muxin;
486
                        ELSE
487
                                data_write_mux <= bf_ext_out&data_write_muxin&"--------";
488
                        END IF;
489
                END IF;
490
 
491
                IF memmaskmux(1)='0' THEN
492
                        data_write <= data_write_mux(47 downto 32);
493
                ELSIF memmaskmux(3)='0' THEN
494
                        data_write <= data_write_mux(31 downto 16);
495
                ELSE
496
                        data_write <= data_write_mux(15 downto 0);
497
                END IF;
498
                IF exec(mem_byte)='1' THEN      --movep
499
                        data_write(7 downto 0) <= data_write_tmp(15 downto 8);
500
                END IF;
501
        END PROCESS;
502
 
503
-----------------------------------------------------------------------------
504
-- Registerfile
505
-----------------------------------------------------------------------------
506
PROCESS (clk, regfile, RDindex_A, RDindex_B, exec)
507
        BEGIN
508
                reg_QA <= regfile(RDindex_A);
509
                reg_QB <= regfile(RDindex_B);
510
                IF rising_edge(clk) THEN
511
                    IF clkena_lw='1' THEN
512
                                rf_source_addrd <= rf_source_addr;
513
                                WR_AReg <= rf_dest_addr(3);
514
                                RDindex_A <= conv_integer(rf_dest_addr(3 downto 0));
515
                                RDindex_B <= conv_integer(rf_source_addr(3 downto 0));
516
                                IF Wwrena='1' THEN
517
                                        regfile(RDindex_A) <= regin;
518
                                END IF;
519
 
520
                                IF exec(to_USP)='1' THEN
521
                                        USP <= reg_QA;
522
                                END IF;
523
                        END IF;
524
                END IF;
525
        END PROCESS;
526
 
527
-----------------------------------------------------------------------------
528
-- Write Reg
529
-----------------------------------------------------------------------------
530
PROCESS (OP1in, reg_QA, Regwrena_now, Bwrena, Lwrena, exe_datatype, WR_AReg, movem_actiond, exec, ALUout, memaddr, memaddr_a, ea_only, USP, movec_data)
531
        BEGIN
532
                regin <= ALUout;
533
                IF exec(save_memaddr)='1' THEN
534
                        regin <= memaddr;
535
                ELSIF exec(get_ea_now)='1' AND ea_only='1' THEN
536
                        regin <= memaddr_a;
537
                ELSIF exec(from_USP)='1' THEN
538
                        regin <= USP;
539
                ELSIF exec(movec_rd)='1' THEN
540
                        regin <= movec_data;
541
                END IF;
542
 
543
                IF Bwrena='1' THEN
544
                        regin(15 downto 8) <= reg_QA(15 downto 8);
545
                END IF;
546
                IF Lwrena='0' THEN
547
                        regin(31 downto 16) <= reg_QA(31 downto 16);
548
                END IF;
549
 
550
                Bwrena <= '0';
551
                Wwrena <= '0';
552
                Lwrena <= '0';
553
                IF exec(presub)='1' OR exec(postadd)='1' OR exec(changeMode)='1' THEN           -- -(An)+
554
                        Wwrena <= '1';
555
                        Lwrena <= '1';
556
                ELSIF Regwrena_now='1' THEN             --dbcc  
557
                        Wwrena <= '1';
558
                ELSIF exec(Regwrena)='1' THEN           --read (mem)
559
                        Wwrena <= '1';
560
                        CASE exe_datatype IS
561
                                WHEN "00" =>            --BYTE
562
                                        Bwrena <= '1';
563
                                WHEN "01" =>            --WORD
564
                                        IF WR_AReg='1' OR movem_actiond='1' THEN
565
                                                Lwrena <='1';
566
                                        END IF;
567
                                WHEN OTHERS =>          --LONG
568
                                        Lwrena <= '1';
569
                        END CASE;
570
                END IF;
571
        END PROCESS;
572
 
573
-----------------------------------------------------------------------------
574
-- set dest regaddr
575
-----------------------------------------------------------------------------
576
PROCESS (opcode, rf_source_addrd, brief, setstackaddr, dest_hbits, dest_areg, data_is_source, sndOPC, exec, set, dest_2ndHbits)
577
        BEGIN
578
                IF exec(movem_action) ='1' THEN
579
                        rf_dest_addr <= rf_source_addrd;
580
                ELSIF set(briefext)='1' THEN
581
                        rf_dest_addr <= brief(15 downto 12);
582 4 tobiflex
                ELSIF set(get_bfoffset)='1' THEN
583 5 tobiflex
--                      IF opcode(15 downto 12)="1110" THEN
584 4 tobiflex
                                rf_dest_addr <= '0'&sndOPC(8 downto 6);
585 5 tobiflex
--                      ELSE
586
--                              rf_dest_addr <= sndOPC(9 downto 6);
587
--                      END IF;
588 2 tobiflex
                ELSIF dest_2ndHbits='1' THEN
589 4 tobiflex
                        rf_dest_addr <= '0'&sndOPC(14 downto 12);
590 2 tobiflex
                ELSIF set(write_reminder)='1' THEN
591 4 tobiflex
                        rf_dest_addr <= '0'&sndOPC(2 downto 0);
592 2 tobiflex
                ELSIF setstackaddr='1' THEN
593
                        rf_dest_addr <= "1111";
594
                ELSIF dest_hbits='1' THEN
595
                        rf_dest_addr <= dest_areg&opcode(11 downto 9);
596
                ELSE
597
                        IF opcode(5 downto 3)="000" OR data_is_source='1' THEN
598
                                rf_dest_addr <= dest_areg&opcode(2 downto 0);
599
                        ELSE
600
                                rf_dest_addr <= '1'&opcode(2 downto 0);
601
                        END IF;
602
                END IF;
603
        END PROCESS;
604
 
605
-----------------------------------------------------------------------------
606
-- set source regaddr
607
-----------------------------------------------------------------------------
608
PROCESS (opcode, movem_presub, movem_regaddr, source_lowbits, source_areg, sndOPC, exec, set, source_2ndLbits, source_2ndHbits)
609
        BEGIN
610
                IF exec(movem_action)='1' OR set(movem_action) ='1' THEN
611
                        IF movem_presub='1' THEN
612
                                rf_source_addr <= movem_regaddr XOR "1111";
613
                        ELSE
614
                                rf_source_addr <= movem_regaddr;
615
                        END IF;
616
                ELSIF source_2ndLbits='1' THEN
617 4 tobiflex
                        rf_source_addr <= '0'&sndOPC(2 downto 0);
618 2 tobiflex
                ELSIF source_2ndHbits='1' THEN
619 4 tobiflex
                        rf_source_addr <= '0'&sndOPC(14 downto 12);
620 2 tobiflex
                ELSIF source_lowbits='1' THEN
621
                        rf_source_addr <= source_areg&opcode(2 downto 0);
622
                ELSIF exec(linksp)='1' THEN
623
                        rf_source_addr <= "1111";
624
                ELSE
625
                        rf_source_addr <= source_areg&opcode(11 downto 9);
626
                END IF;
627
        END PROCESS;
628
 
629
-----------------------------------------------------------------------------
630
-- set OP1out
631
-----------------------------------------------------------------------------
632
PROCESS (reg_QA, store_in_tmp, ea_data, long_start, addr, exec, memmaskmux)
633
        BEGIN
634
                OP1out <= reg_QA;
635
                IF exec(OP1out_zero)='1' THEN
636
                        OP1out <= (OTHERS => '0');
637
                ELSIF exec(ea_data_OP1)='1' AND store_in_tmp='1' THEN
638
                        OP1out <= ea_data;
639
                ELSIF exec(movem_action)='1' OR memmaskmux(3)='0' OR exec(OP1addr)='1' THEN
640
                        OP1out <= addr;
641
                END IF;
642
        END PROCESS;
643
 
644
-----------------------------------------------------------------------------
645
-- set OP2out
646
-----------------------------------------------------------------------------
647
PROCESS (OP2out, reg_QB, exe_opcode, exe_datatype, execOPC, exec, use_direct_data,
648
             store_in_tmp, data_write_tmp, ea_data)
649
        BEGIN
650
                OP2out(15 downto 0) <= reg_QB(15 downto 0);
651
                OP2out(31 downto 16) <= (OTHERS => OP2out(15));
652
                IF exec(OP2out_one)='1' THEN
653
                        OP2out(15 downto 0) <= "1111111111111111";
654
                ELSIF exec(opcEXT)='1' THEN
655
                        IF exe_opcode(6)='0' OR exe_opcode(8)='1' THEN   --ext.w
656
                                OP2out(15 downto 8) <= (OTHERS => OP2out(7));
657
                        END IF;
658
                ELSIF use_direct_data='1' OR (exec(exg)='1' AND execOPC='1') OR exec(get_bfoffset)='1' THEN
659
                        OP2out <= data_write_tmp;
660
                ELSIF (exec(ea_data_OP1)='0' AND store_in_tmp='1') OR exec(ea_data_OP2)='1' THEN
661
                        OP2out <= ea_data;
662
                ELSIF exec(opcMOVEQ)='1' THEN
663
                        OP2out(7 downto 0) <= exe_opcode(7 downto 0);
664
                        OP2out(15 downto 8) <= (OTHERS => exe_opcode(7));
665
                ELSIF exec(opcADDQ)='1' THEN
666
                        OP2out(2 downto 0) <= exe_opcode(11 downto 9);
667
                        IF exe_opcode(11 downto 9)="000" THEN
668
                                OP2out(3) <='1';
669
                        ELSE
670
                                OP2out(3) <='0';
671
                        END IF;
672
                        OP2out(15 downto 4) <= (OTHERS => '0');
673
                ELSIF exe_datatype="10" THEN
674
                        OP2out(31 downto 16) <= reg_QB(31 downto 16);
675
                END IF;
676
        END PROCESS;
677
 
678
 
679
-----------------------------------------------------------------------------
680
-- handle EA_data, data_write
681
-----------------------------------------------------------------------------
682
PROCESS (clk)
683
        BEGIN
684
        IF rising_edge(clk) THEN
685
                        IF Reset = '1' THEN
686
                                store_in_tmp <='0';
687
                                exec_write_back <= '0';
688
                                direct_data <= '0';
689
                                use_direct_data <= '0';
690
                                Z_error <= '0';
691
                        ELSIF clkena_lw='1' THEN
692
                                direct_data <= '0';
693
                                IF state="11" THEN
694
                                        exec_write_back <= '0';
695
                                ELSIF setstate="10" AND write_back='1' THEN
696 8 tobiflex
--              elsif setstate = "10" and write_back = '1' and next_micro_state = idle then  --???
697 2 tobiflex
                                        exec_write_back <= '1';
698
                                END IF;
699
 
700 9 tobiflex
                                IF exec(hold_OP2)='1' THEN
701 8 tobiflex
                                        use_direct_data <= '1';
702
                                END IF;
703 2 tobiflex
                                IF set_direct_data='1' THEN
704
                                        direct_data <= '1';
705
                                        use_direct_data <= '1';
706
                                ELSIF endOPC='1' THEN
707
                                        use_direct_data <= '0';
708
                                END IF;
709
                                exec_DIRECT <= set_exec(opcMOVE);
710
 
711
                                IF endOPC='1' THEN
712
                                        store_in_tmp <='0';
713
                                        Z_error <= '0';
714
                                ELSE
715
                                        IF set_Z_error='1'  THEN
716
                                                Z_error <= '1';
717
                                        END IF;
718
                                        IF set_exec(opcMOVE)='1' AND state="11" THEN
719
                                                use_direct_data <= '1';
720
                                        END IF;
721
 
722
                                        IF state="10" OR exec(store_ea_packdata)='1' THEN
723
                                                store_in_tmp <= '1';
724
                                        END IF;
725
                                        IF direct_data='1' AND state="00" THEN
726
                                                store_in_tmp <= '1';
727
                                        END IF;
728
                                END IF;
729
 
730
                                IF state="10" AND exec(hold_ea_data)='0' THEN
731
                                        ea_data <= data_read;
732
                                ELSIF exec(get_2ndOPC)='1' THEN
733
                                        ea_data <= addr;
734
                                ELSIF exec(store_ea_data)='1' OR (direct_data='1' AND state="00") THEN
735
                                        ea_data <= last_data_read;
736
                                END IF;
737
 
738
                                IF writePC='1' THEN
739
                                        data_write_tmp <= TG68_PC;
740
                                ELSIF exec(writePC_add)='1' THEN
741
                                        data_write_tmp <= TG68_PC_add;
742 8 tobiflex
-- paste and copy form TH       ---------       
743
                                elsif micro_state=trap00 THEN
744
                                        data_write_tmp <= exe_pc; --TH
745
                                elsif micro_state = trap0 then
746
                  -- this is only active for 010+ since in 000 writePC is
747
                  -- true in state trap0
748
                                        if trap_trace='1' or set_exec(opcTRAPV) = '1' then
749
                                                -- stack frame format #2
750
                                                data_write_tmp(15 downto 0) <= "0010" & trap_vector(11 downto 0); --TH
751
                                        else
752
                                                data_write_tmp(15 downto 0) <= "0000" & trap_vector(11 downto 0);
753
                                        end if;
754
------------------------------------
755
--                              ELSIF micro_state=trap0 THEN    
756
--                                      data_write_tmp(15 downto 0) <= trap_vector(15 downto 0);
757 2 tobiflex
                                ELSIF exec(hold_dwr)='1' THEN
758
                                        data_write_tmp <= data_write_tmp;
759
                                ELSIF exec(exg)='1' THEN
760
                                        data_write_tmp <= OP1out;
761
                                ELSIF exec(get_ea_now)='1' AND ea_only='1' THEN         -- ist for pea
762
                                        data_write_tmp <= addr;
763
                                ELSIF execOPC='1' THEN
764
                                        data_write_tmp <= ALUout;
765
                                ELSIF (exec_DIRECT='1' AND state="10") THEN
766
                                        data_write_tmp <= data_read;
767
                                        IF  exec(movepl)='1' THEN
768
                                                data_write_tmp(31 downto 8) <= data_write_tmp(23 downto 0);
769
                                        END IF;
770
                                ELSIF exec(movepl)='1' THEN
771
                                        data_write_tmp(15 downto 0) <= reg_QB(31 downto 16);
772
                                ELSIF direct_data='1' THEN
773
                                        data_write_tmp <= last_data_read;
774
                                ELSIF writeSR='1'THEN
775
                                        data_write_tmp(15 downto 0) <= trap_SR(7 downto 0)& Flags(7 downto 0);
776
                                ELSE
777
                                        data_write_tmp <= OP2out;
778
                                END IF;
779
                        END IF;
780
                END IF;
781
        END PROCESS;
782
 
783
-----------------------------------------------------------------------------
784
-- brief
785
-----------------------------------------------------------------------------
786
PROCESS (brief, OP1out, OP1outbrief, cpu)
787
        BEGIN
788
                IF brief(11)='1' THEN
789
                        OP1outbrief <= OP1out(31 downto 16);
790
                ELSE
791
                        OP1outbrief <= (OTHERS=>OP1out(15));
792
                END IF;
793
                briefdata <= OP1outbrief&OP1out(15 downto 0);
794
                IF extAddr_Mode=1 OR (cpu(1)='1' AND extAddr_Mode=2) THEN
795
                        CASE brief(10 downto 9) IS
796
                                WHEN "00" => briefdata <= OP1outbrief&OP1out(15 downto 0);
797
                                WHEN "01" => briefdata <= OP1outbrief(14 downto 0)&OP1out(15 downto 0)&'0';
798
                                WHEN "10" => briefdata <= OP1outbrief(13 downto 0)&OP1out(15 downto 0)&"00";
799
                                WHEN "11" => briefdata <= OP1outbrief(12 downto 0)&OP1out(15 downto 0)&"000";
800
                                WHEN OTHERS => NULL;
801
                        END CASE;
802
                END IF;
803
        END PROCESS;
804
 
805
-----------------------------------------------------------------------------
806
-- MEM_IO 
807
-----------------------------------------------------------------------------
808
PROCESS (clk, setdisp, memaddr_a, briefdata, memaddr_delta, setdispbyte, datatype, interrupt, rIPL_nr, IPL_vec,
809
         memaddr_reg, reg_QA, use_base, VBR, last_data_read, trap_vector, exec, set, cpu)
810
        BEGIN
811
 
812
                IF rising_edge(clk) THEN
813
                        IF clkena_lw='1' THEN
814
                                trap_vector(31 downto 10) <= (others => '0');
815
                                IF trap_berr='1' THEN
816
                                        trap_vector(9 downto 0) <= "00" & X"08";
817
                                END IF;
818
                                IF trap_addr_error='1' THEN
819
                                        trap_vector(9 downto 0) <= "00" & X"0C";
820
                                END IF;
821
                                IF trap_illegal='1' THEN
822
                                        trap_vector(9 downto 0) <= "00" & X"10";
823
                                END IF;
824
                                IF z_error='1' THEN
825
                                        trap_vector(9 downto 0) <= "00" & X"14";
826
                                END IF;
827
                                IF exec(trap_chk)='1' THEN
828
                                        trap_vector(9 downto 0) <= "00" & X"18";
829
                                END IF;
830
                                IF trap_trapv='1' THEN
831
                                        trap_vector(9 downto 0) <= "00" & X"1C";
832
                                END IF;
833
                                IF trap_priv='1' THEN
834
                                        trap_vector(9 downto 0) <= "00" & X"20";
835
                                END IF;
836
                                IF trap_trace='1' THEN
837
                                        trap_vector(9 downto 0) <= "00" & X"24";
838
                                END IF;
839
                                IF trap_1010='1' THEN
840
                                        trap_vector(9 downto 0) <= "00" & X"28";
841
                                END IF;
842
                                IF trap_1111='1' THEN
843
                                        trap_vector(9 downto 0) <= "00" & X"2C";
844
                                END IF;
845
                                IF trap_trap='1' THEN
846
                                        trap_vector(9 downto 0) <= "0010" & opcode(3 downto 0) & "00";
847
                                END IF;
848
                                IF trap_interrupt='1' or set_vectoraddr = '1' THEN
849
                                        trap_vector(9 downto 0) <= IPL_vec & "00";      --TH
850
                                END IF;
851
                        END IF;
852
                END IF;
853
                IF VBR_Stackframe=0 OR (cpu(0)='0' AND VBR_Stackframe=2) THEN
854
                        trap_vector_vbr <= trap_vector;
855
                ELSE
856
                        trap_vector_vbr <= trap_vector+VBR;
857
                END IF;
858
 
859
                memaddr_a(4 downto 0) <= "00000";
860
                memaddr_a(7 downto 5) <= (OTHERS=>memaddr_a(4));
861
                memaddr_a(15 downto 8) <= (OTHERS=>memaddr_a(7));
862
                memaddr_a(31 downto 16) <= (OTHERS=>memaddr_a(15));
863
                IF setdisp='1' THEN
864
                        IF exec(briefext)='1' THEN
865
                                memaddr_a <= briefdata+memaddr_delta;
866
                        ELSIF setdispbyte='1' THEN
867
                                memaddr_a(7 downto 0) <= last_data_read(7 downto 0);
868
                        ELSE
869
                                memaddr_a <= last_data_read;
870
                        END IF;
871
                ELSIF set(presub)='1' THEN
872
                        IF set(longaktion)='1' THEN
873
                                memaddr_a(4 downto 0) <= "11100";
874
                        ELSIF datatype="00" AND set(use_SP)='0' THEN
875
                                memaddr_a(4 downto 0) <= "11111";
876
                        ELSE
877
                                memaddr_a(4 downto 0) <= "11110";
878
                        END IF;
879
                ELSIF interrupt='1' THEN
880
                        memaddr_a(4 downto 0) <= '1'&rIPL_nr&'0';
881
                END IF;
882
 
883
                IF rising_edge(clk) THEN
884
                        IF clkena_in='1' THEN
885
                                IF exec(get_2ndOPC)='1' OR (state="10" AND memread(0)='1') THEN
886
                                        tmp_TG68_PC <= addr;
887
                                END IF;
888
                                use_base <= '0';
889
                                IF memmaskmux(3)='0' OR exec(mem_addsub)='1' THEN
890
                                        memaddr_delta <= addsub_q;
891
                                ELSIF state="01" AND exec_write_back='1' THEN
892
                                        memaddr_delta <= tmp_TG68_PC;
893
                                ELSIF exec(direct_delta)='1' THEN
894
                                        memaddr_delta <= data_read;
895
                                ELSIF exec(ea_to_pc)='1' AND setstate="00" THEN
896
                                        memaddr_delta <= addr;
897
                                ELSIF set(addrlong)='1' THEN
898
                                        memaddr_delta <= last_data_read;
899
                                ELSIF setstate="00" THEN
900
                                        memaddr_delta <= TG68_PC_add;
901
                                ELSIF exec(dispouter)='1' THEN
902
                                        memaddr_delta <= ea_data+memaddr_a;
903
                                ELSIF set_vectoraddr='1' THEN
904
                                        memaddr_delta <= trap_vector_vbr;
905
                                ELSE
906
                                        memaddr_delta <= memaddr_a;
907
                                        IF interrupt='0' AND Suppress_Base='0' THEN
908
--                                      IF interrupt='0' AND Suppress_Base='0' AND setstate(1)='1' THEN
909
                                                use_base <= '1';
910
                                        END IF;
911
                                END IF;
912
 
913
                -- only used for movem address update
914
--                                      IF (long_done='0' AND state(1)='1') OR movem_presub='0' THEN
915
                                        if ((memread(0) = '1') and state(1) = '1') or movem_presub = '0' then -- fix for unaligned movem mikej
916
                                                memaddr <= addr;
917
                                        END IF;
918
                        END IF;
919
                END IF;
920
 
921
                -- if access done, and not aligned, don't increment
922
                addr <= memaddr_reg+memaddr_delta;
923 4 tobiflex
                addr_out <= memaddr_reg + memaddr_delta;
924
 
925 2 tobiflex
                IF use_base='0' THEN
926
                        memaddr_reg <= (others=>'0');
927
                ELSE
928
                        memaddr_reg <= reg_QA;
929
                END IF;
930
    END PROCESS;
931
 
932
-----------------------------------------------------------------------------
933
-- PC Calc + fetch opcode
934
-----------------------------------------------------------------------------
935
PROCESS (clk, IPL, setstate, state, exec_write_back, set_direct_data, next_micro_state, stop, make_trace, make_berr, IPL_nr, FlagsSR, set_rot_cnt, opcode, writePCbig, set_exec, exec,
936 4 tobiflex
        PC_dataa, PC_datab, setnextpass, last_data_read, TG68_PC_brw, TG68_PC_word, Z_error, trap_trap, trap_trapv, interrupt, tmp_TG68_PC, TG68_PC)
937 2 tobiflex
        BEGIN
938
 
939
                PC_dataa <= TG68_PC;
940
                IF TG68_PC_brw = '1' THEN
941
                        PC_dataa <= tmp_TG68_PC;
942
                END IF;
943
 
944
                PC_datab(2 downto 0) <= (others => '0');
945
                PC_datab(3) <= PC_datab(2);
946
                PC_datab(7 downto 4) <= (others => PC_datab(3));
947
                PC_datab(15 downto 8) <= (others => PC_datab(7));
948
                PC_datab(31 downto 16) <= (others => PC_datab(15));
949
                IF interrupt='1' THEN
950
                        PC_datab(2 downto 1) <= "11";
951
                END IF;
952
                IF exec(writePC_add) ='1' THEN
953
                        IF writePCbig='1' THEN
954
                                PC_datab(3) <= '1';
955
                                PC_datab(1) <= '1';
956
                        ELSE
957
                                PC_datab(2) <= '1';
958
                        END IF;
959
                        IF trap_trap='1' OR trap_trapv='1' OR exec(trap_chk)='1' OR Z_error='1' THEN
960
                                PC_datab(1) <= '1';
961
                        END IF;
962
                ELSIF state="00" THEN
963
                        PC_datab(1) <= '1';
964
                END IF;
965
                IF TG68_PC_brw = '1' THEN
966
                        IF TG68_PC_word='1' THEN
967
                                PC_datab <= last_data_read;
968
                        ELSE
969
                                PC_datab(7 downto 0) <= opcode(7 downto 0);
970
                        END IF;
971
                END IF;
972
 
973
                TG68_PC_add <= PC_dataa+PC_datab;
974
 
975
                setopcode <= '0';
976
                setendOPC <= '0';
977
                setinterrupt <= '0';
978
                IF setstate="00" AND next_micro_state=idle AND setnextpass='0' AND (exec_write_back='0' OR state="11") AND set_rot_cnt="000001" AND set_exec(opcCHK)='0'THEN
979
                        setendOPC <= '1';
980
                        IF FlagsSR(2 downto 0)<IPL_nr OR IPL_nr="111"  OR make_trace='1' OR make_berr='1' THEN
981
                                setinterrupt <= '1';
982
                        ELSIF stop='0' THEN
983
                                setopcode <= '1';
984
                        END IF;
985
                END IF;
986
                setexecOPC <= '0';
987
                IF setstate="00" AND next_micro_state=idle AND set_direct_data='0' AND (exec_write_back='0' OR state="10") THEN
988
                        setexecOPC <= '1';
989
                END IF;
990
 
991
                IPL_nr <= NOT IPL;
992
                IF rising_edge(clk) THEN
993 4 tobiflex
                        IF Reset = '1' THEN
994 2 tobiflex
                                state <= "01";
995
                                opcode <= X"2E79";                                      --move $0,a7
996
                                trap_interrupt <= '0';
997
                                interrupt <= '0';
998
                                last_opc_read  <= X"4EF9";                      --jmp nn.l
999
                                TG68_PC <= X"00000004";
1000
                                decodeOPC <= '0';
1001
                                endOPC <= '0';
1002
                                TG68_PC_word <= '0';
1003
                                execOPC <= '0';
1004
                                stop <= '0';
1005
                                rot_cnt <="000001";
1006
--                              byte <= '0';
1007
--                              IPL_nr <= "000";
1008
                                trap_trace <= '0';
1009
                                trap_berr <= '0';
1010
                                writePCbig <= '0';
1011
--                              recall_last <= '0';
1012
                                Suppress_Base <= '0';
1013
                                make_berr <= '0';
1014
                                memmask <= "111111";
1015
                        ELSE
1016
--                              IPL_nr <= NOT IPL;
1017
                                IF clkena_in='1' THEN
1018
                                        memmask <= memmask(3 downto 0)&"11";
1019
                                        memread <= memread(1 downto 0)&memmaskmux(5 downto 4);
1020
--                                      IF wbmemmask(5 downto 4)="11" THEN      
1021
--                                              wbmemmask <= memmask;
1022
--                                      END IF;
1023
                                        IF exec(directPC)='1' THEN
1024
                                                TG68_PC <= data_read;
1025
                                        ELSIF exec(ea_to_pc)='1' THEN
1026
                                                TG68_PC <= addr;
1027
                                        ELSIF (state ="00" OR TG68_PC_brw = '1') AND stop='0'  THEN
1028
                                                TG68_PC <= TG68_PC_add;
1029
                                        END IF;
1030
                                END IF;
1031
                                IF clkena_lw='1' THEN
1032
                                        interrupt <= setinterrupt;
1033
                                        decodeOPC <= setopcode;
1034
                                        endOPC <= setendOPC;
1035
                                        execOPC <= setexecOPC;
1036
 
1037
                                        exe_datatype <= set_datatype;
1038
                                        exe_opcode <= opcode;
1039
 
1040
                                        if(trap_berr='0') then
1041
                                                make_berr <= (berr OR make_berr);
1042
                                        else
1043
                                                make_berr <= '0';
1044
                                        end if;
1045
 
1046
                                        stop <= set_stop OR (stop AND NOT setinterrupt);
1047
                                        IF setinterrupt='1' THEN
1048
                                                trap_interrupt <= '0';
1049
                                                trap_trace <= '0';
1050
--                                              TG68_PC_word <= '0';
1051
                                                make_berr <= '0';
1052
                                                trap_berr <= '0';
1053
                                                IF make_trace='1' THEN
1054
                                                        trap_trace <= '1';
1055
                                                ELSIF make_berr='1' THEN
1056
                                                        trap_berr <= '1';
1057
                                                ELSE
1058
                                                        rIPL_nr <= IPL_nr;
1059
                                                        IPL_vec <= "00011"&IPL_nr;            --        TH              
1060
                                                        trap_interrupt <= '1';
1061
                                                END IF;
1062
                                        END IF;
1063
                                        IF micro_state=trap0 AND IPL_autovector='0' THEN
1064
                                                IPL_vec <= last_data_read(7 downto 0);    --     TH
1065
                                        END IF;
1066
                                        IF state="00" THEN
1067
                                                last_opc_read <= data_read(15 downto 0);
1068 8 tobiflex
                                                last_opc_pc <= tg68_pc;--TH
1069 2 tobiflex
                                        END IF;
1070
                                        IF setopcode='1' THEN
1071
                                                trap_interrupt <= '0';
1072
                                                trap_trace <= '0';
1073
                                                TG68_PC_word <= '0';
1074
                                                trap_berr <= '0';
1075
                                        ELSIF opcode(7 downto 0)="00000000" OR opcode(7 downto 0)="11111111" OR data_is_source='1' THEN
1076
                                                TG68_PC_word <= '1';
1077
                                        END IF;
1078
 
1079
                                        IF exec(get_bfoffset)='1' THEN
1080
                                                alu_width <= bf_width;
1081
                                                alu_bf_shift <= bf_shift;
1082
                                                alu_bf_loffset <= bf_loffset;
1083
                                                alu_bf_ffo_offset <= bf_full_offset+bf_width+1;
1084
                                        END IF;
1085
                                        memread <= "1111";
1086
                                        FC(1) <= NOT setstate(1) OR (PCbase AND NOT setstate(0));
1087
                                        FC(0) <= setstate(1) AND (NOT PCbase OR setstate(0));
1088
                                        IF interrupt='1' THEN
1089
                                                FC(1 downto 0) <= "11";
1090
                                        END IF;
1091
                                        IF (state="10" AND write_back='1' AND setstate/="10") OR set_rot_cnt/="000001" OR (stop='1' AND interrupt='0') OR set_exec(opcCHK)='1' THEN
1092
                                                state <= "01";
1093
                                                memmask <= "111111";
1094
                                        ELSIF execOPC='1' AND exec_write_back='1' THEN
1095
                                                state <= "11";
1096
                                                FC(1 downto 0) <= "01";
1097
                                                memmask <= wbmemmask;
1098
                                        ELSE
1099
                                                state <= setstate;
1100
                                                IF setstate="01" THEN
1101
                                                        memmask <= "111111";
1102
                                                        wbmemmask <= "111111";
1103
                                                ELSIF exec(get_bfoffset)='1' THEN
1104
                                                        memmask <= set_memmask;
1105
                                                        wbmemmask <= set_memmask;
1106
                                                        oddout <= set_oddout;
1107
                                                ELSIF set(longaktion)='1' THEN
1108
                                                        memmask <= "100001";
1109
                                                        wbmemmask <= "100001";
1110
                                                        oddout <= '0';
1111
                                                ELSIF set_datatype="00" AND setstate(1)='1' THEN
1112
                                                        memmask <= "101111";
1113
                                                        wbmemmask <= "101111";
1114
                                                        IF set(mem_byte)='1' THEN
1115
                                                                oddout <= '0';
1116
                                                        ELSE
1117
                                                                oddout <= '1';
1118
                                                        END IF;
1119
                                                ELSE
1120
                                                        memmask <= "100111";
1121
                                                        wbmemmask <= "100111";
1122
                                                        oddout <= '0';
1123
                                                END IF;
1124
                                        END IF;
1125
 
1126
                                        IF decodeOPC='1' THEN
1127
                                                rot_bits <= set_rot_bits;
1128
                                                writePCbig <= '0';
1129
                                        ELSE
1130
                                                writePCbig <= set_writePCbig OR writePCbig;
1131
                                        END IF;
1132
                                        IF decodeOPC='1' OR exec(ld_rot_cnt)='1' OR rot_cnt/="000001" THEN
1133
                                                rot_cnt <= set_rot_cnt;
1134
                                        END IF;
1135
--                                      IF setstate(1)='1' AND set_datatype="00" THEN
1136
--                                              byte <= '1';
1137
--                                      END IF;
1138
 
1139
                                        IF set_Suppress_Base='1' THEN
1140
                                                Suppress_Base <= '1';
1141
                                        ELSIF setstate(1)='1' OR (ea_only='1' AND set(get_ea_now)='1') THEN
1142
                                                Suppress_Base <= '0';
1143
                                        END IF;
1144
                                        IF getbrief='1' THEN
1145
                                                IF state(1)='1' THEN
1146
                                                        brief <= last_opc_read(15 downto 0);
1147
                                                ELSE
1148
                                                        brief <= data_read(15 downto 0);
1149
                                                END IF;
1150
                                        END IF;
1151
 
1152
                                        IF setopcode='1' AND berr='0' THEN
1153
                                                IF state="00" THEN
1154
                                                        opcode <= data_read(15 downto 0);
1155 8 tobiflex
                                                        exe_pc <= tg68_pc;--TH
1156 2 tobiflex
                                                ELSE
1157
                                                        opcode <= last_opc_read(15 downto 0);
1158 8 tobiflex
                                                        exe_pc <= last_opc_pc;--TH
1159 2 tobiflex
                                                END IF;
1160
                                                nextpass <= '0';
1161
                                        ELSIF setinterrupt='1' OR setopcode='1' THEN
1162
                                                opcode <= X"4E71";              --nop
1163
                                                nextpass <= '0';
1164
                                        ELSE
1165
--                                              IF setnextpass='1' OR (regdirectsource='1' AND state="00") THEN
1166
                                                IF setnextpass='1' OR regdirectsource='1' THEN
1167
                                                        nextpass <= '1';
1168
                                                END IF;
1169
                                        END IF;
1170
 
1171
                                        IF decodeOPC='1' OR interrupt='1' THEN
1172
                                                trap_SR <= FlagsSR;
1173
                                        END IF;
1174
                                END IF;
1175
                        END IF;
1176
                END IF;
1177
 
1178
                IF rising_edge(clk) THEN
1179 5 tobiflex
                        IF Reset = '1' THEN
1180 2 tobiflex
                                PCbase <= '1';
1181
                        ELSIF clkena_lw='1' THEN
1182
                                PCbase <= set_PCbase OR PCbase;
1183
                                IF setexecOPC='1' OR (state(1)='1' AND movem_run='0') THEN
1184
                                        PCbase <= '0';
1185
                                END IF;
1186
                        END IF;
1187
                        IF clkena_lw='1' THEN
1188
                                exec <= set;
1189
                                exec_tas <= '0';
1190
                                exec(subidx) <= set(presub) or set(subidx);
1191
                                IF setexecOPC='1' THEN
1192
                                        exec <= set_exec OR set;
1193
                                        exec_tas <= set_exec_tas;
1194
                                END IF;
1195
                                exec(get_2ndOPC) <= set(get_2ndOPC) OR setopcode;
1196
                        END IF;
1197
                END IF;
1198
        END PROCESS;
1199
 
1200
------------------------------------------------------------------------------
1201
--prepare Bitfield Parameters
1202
------------------------------------------------------------------------------          
1203
PROCESS (clk, Reset, sndOPC, reg_QA, reg_QB, bf_width, bf_offset, bf_bhits, opcode, setstate, bf_shift)
1204
        BEGIN
1205
                IF sndOPC(11)='1' THEN
1206
                        bf_offset <= '0'&reg_QA(4 downto 0);
1207
                ELSE
1208
                        bf_offset <= '0'&sndOPC(10 downto 6);
1209
                END IF;
1210
                IF sndOPC(11)='1' THEN
1211
                        bf_full_offset <= reg_QA;
1212
                ELSE
1213
                        bf_full_offset <= (others => '0');
1214
                        bf_full_offset(4 downto 0) <= sndOPC(10 downto 6);
1215
                END IF;
1216
 
1217
                bf_width(5) <= '0';
1218
                IF sndOPC(5)='1' THEN
1219
                        bf_width(4 downto 0) <= reg_QB(4 downto 0)-1;
1220
                ELSE
1221
                        bf_width(4 downto 0) <= sndOPC(4 downto 0)-1;
1222
                END IF;
1223
                bf_bhits <= bf_width+bf_offset;
1224
                set_oddout <= NOT bf_bhits(3);
1225
 
1226 4 tobiflex
 
1227
-- bf_loffset is used for the shifted_bitmask
1228 2 tobiflex
                IF opcode(10 downto 8)="111" THEN --INS
1229
                        bf_loffset <= 32-bf_shift;
1230
                ELSE
1231
                        bf_loffset <= bf_shift;
1232
                END IF;
1233
                bf_loffset(5) <= '0';
1234
 
1235
                IF opcode(4 downto 3)="00" THEN
1236
                        IF opcode(10 downto 8)="111" THEN --INS
1237
                                bf_shift <= bf_bhits+1;
1238
                        ELSE
1239
                                bf_shift <= 31-bf_bhits;
1240
                        END IF;
1241
                        bf_shift(5) <= '0';
1242
                ELSE
1243 4 tobiflex
                        IF opcode(10 downto 8)="111" THEN --INS
1244
                                bf_shift <= "011001"+("000"&bf_bhits(2 downto 0));
1245
                                bf_shift(5) <= '0';
1246 2 tobiflex
                        ELSE
1247
                                bf_shift <= "000"&("111"-bf_bhits(2 downto 0));
1248
                        END IF;
1249
                        bf_offset(4 downto 3) <= "00";
1250
                END IF;
1251 4 tobiflex
 
1252
                CASE bf_bhits(5 downto 3) IS
1253
                        WHEN "000" =>
1254
                                set_memmask <= "101111";
1255
                        WHEN "001" =>
1256 2 tobiflex
                                set_memmask <= "100111";
1257 4 tobiflex
                        WHEN "010" =>
1258
                                set_memmask <= "100011";
1259
                        WHEN "011" =>
1260
                                set_memmask <= "100001";
1261
                        WHEN OTHERS =>
1262
                                set_memmask <= "100000";
1263
                END CASE;
1264
                IF setstate="00" THEN
1265
                        set_memmask <= "100111";
1266
                END IF;
1267 2 tobiflex
        END PROCESS;
1268
 
1269
------------------------------------------------------------------------------
1270
--SR op
1271
------------------------------------------------------------------------------          
1272
PROCESS (clk, Reset, FlagsSR, last_data_read, OP2out, exec)
1273
        BEGIN
1274
                IF exec(andiSR)='1' THEN
1275
                        SRin <= FlagsSR AND last_data_read(15 downto 8);
1276
                ELSIF exec(eoriSR)='1' THEN
1277
                        SRin <= FlagsSR XOR last_data_read(15 downto 8);
1278
                ELSIF exec(oriSR)='1' THEN
1279
                        SRin <= FlagsSR OR last_data_read(15 downto 8);
1280
                ELSE
1281
                        SRin <= OP2out(15 downto 8);
1282
                END IF;
1283
 
1284
                IF rising_edge(clk) THEN
1285 4 tobiflex
                        IF Reset='1' THEN
1286 2 tobiflex
                                FC(2) <= '1';
1287
                                SVmode <= '1';
1288
                                preSVmode <= '1';
1289 7 tobiflex
                                FlagsSR <= "00100111";
1290 2 tobiflex
                                make_trace <= '0';
1291
                        ELSIF clkena_lw = '1' THEN
1292
                                IF setopcode='1' THEN
1293
                                        make_trace <= FlagsSR(7);
1294
                                        IF set(changeMode)='1' THEN
1295
                                                SVmode <= NOT SVmode;
1296
                                        ELSE
1297
                                                SVmode <= preSVmode;
1298
                                        END IF;
1299
                                END IF;
1300
                                IF set(changeMode)='1' THEN
1301
                                        preSVmode <= NOT preSVmode;
1302
                                        FlagsSR(5) <= NOT preSVmode;
1303
                                        FC(2) <= NOT preSVmode;
1304
                                END IF;
1305
                                IF micro_state=trap3 THEN
1306
                                        FlagsSR(7) <= '0';
1307
                                END IF;
1308
                                IF trap_trace='1' AND state="10" THEN
1309
                                        make_trace <= '0';
1310
                                END IF;
1311
                                IF exec(directSR)='1' OR set_stop='1' THEN
1312
                                        FlagsSR <= data_read(15 downto 8);
1313
                                END IF;
1314
                                IF interrupt='1' AND trap_interrupt='1' THEN
1315
                                        FlagsSR(2 downto 0) <=rIPL_nr;
1316
                                END IF;
1317
                                IF exec(to_SR)='1' THEN
1318
                                        FlagsSR(7 downto 0) <= SRin;     --SR
1319
                                        FC(2) <= SRin(5);
1320
                                ELSIF exec(update_FC)='1' THEN
1321
                                        FC(2) <= FlagsSR(5);
1322
                                END IF;
1323
                                IF interrupt='1' THEN
1324
                                        FC(2) <= '1';
1325 7 tobiflex
                                END IF;
1326
                                IF cpu(1)='0' THEN
1327
                                        FlagsSR(6) <= '0';
1328
                                END IF;
1329 4 tobiflex
                                FlagsSR(3) <= '0';
1330 2 tobiflex
                        END IF;
1331
                END IF;
1332
        END PROCESS;
1333
 
1334
-----------------------------------------------------------------------------
1335
-- decode opcode
1336
-----------------------------------------------------------------------------
1337
PROCESS (clk, cpu, OP1out, OP2out, opcode, exe_condition, nextpass, micro_state, decodeOPC, state, setexecOPC, Flags, FlagsSR, direct_data, build_logical,
1338
                 build_bcd, set_Z_error, trapd, movem_run, last_data_read, set, set_V_Flag, z_error, trap_trace, trap_interrupt,
1339
                 SVmode, preSVmode, stop, long_done, ea_only, setstate, execOPC, exec_write_back, exe_datatype,
1340 9 tobiflex
                 datatype, interrupt, c_out, trapmake, rot_cnt, brief, addr, trap_trapv, last_data_in,
1341 2 tobiflex
                 long_start, set_datatype, sndOPC, set_exec, exec, ea_build_now, reg_QA, reg_QB, make_berr, trap_berr)
1342
        BEGIN
1343
                TG68_PC_brw <= '0';
1344
                setstate <= "00";
1345
                Regwrena_now <= '0';
1346
                movem_presub <= '0';
1347
                setnextpass <= '0';
1348
                regdirectsource <= '0';
1349
                setdisp <= '0';
1350
                setdispbyte <= '0';
1351
                getbrief <= '0';
1352
                dest_areg <= '0';
1353
                source_areg <= '0';
1354
                data_is_source <= '0';
1355
                write_back <= '0';
1356
                setstackaddr <= '0';
1357
                writePC <= '0';
1358
                ea_build_now <= '0';
1359
--              set_rot_bits <= "00";
1360
                set_rot_bits <= opcode(4 downto 3);
1361
                set_rot_cnt <= "000001";
1362
                dest_hbits <= '0';
1363
                source_lowbits <= '0';
1364
                source_2ndHbits <= '0';
1365
                source_2ndLbits <= '0';
1366
                dest_2ndHbits <= '0';
1367
                ea_only <= '0';
1368
                set_direct_data <= '0';
1369
                set_exec_tas <= '0';
1370
                trap_illegal <='0';
1371
                trap_addr_error <= '0';
1372
                trap_priv <='0';
1373
                trap_1010 <='0';
1374
                trap_1111 <='0';
1375
                trap_trap <='0';
1376
                trap_trapv <= '0';
1377
                trapmake <='0';
1378
                set_vectoraddr <='0';
1379
                writeSR <= '0';
1380
                set_stop <= '0';
1381
--              illegal_write_mode <= '0';
1382
--              illegal_read_mode <= '0';
1383
--              illegal_byteaddr <= '0';
1384
                set_Z_error <= '0';
1385
 
1386
                next_micro_state <= idle;
1387
                build_logical <= '0';
1388
                build_bcd <= '0';
1389
                skipFetch <= make_berr;
1390
                set_writePCbig <= '0';
1391
--              set_recall_last <= '0';
1392
                set_Suppress_Base <= '0';
1393
                set_PCbase <= '0';
1394
 
1395
                IF rot_cnt/="000001" THEN
1396
                        set_rot_cnt <= rot_cnt-1;
1397
                END IF;
1398
                set_datatype <= datatype;
1399
 
1400
                set <= (OTHERS=>'0');
1401
                set_exec <= (OTHERS=>'0');
1402
                set(update_ld) <= '0';
1403
--              odd_start <= '0';
1404
------------------------------------------------------------------------------
1405
--Sourcepass
1406
------------------------------------------------------------------------------          
1407
                CASE opcode(7 downto 6) IS
1408
                        WHEN "00" => datatype <= "00";          --Byte
1409
                        WHEN "01" => datatype <= "01";          --Word
1410
                        WHEN OTHERS => datatype <= "10";        --Long
1411
                END CASE;
1412
 
1413 8 tobiflex
                IF trapmake='1' AND trapd='0' THEN
1414
-- paste and copy form TH       ---------       
1415
                        if trap_trapv = '1' and (VBR_Stackframe = 1 or (cpu(0) = '1' and VBR_Stackframe = 2)) then
1416
                                next_micro_state <= trap00;
1417
                        else
1418
                                next_micro_state <= trap0;
1419
                        end if;
1420
------------------------------------
1421
--                      next_micro_state <= trap0;
1422 2 tobiflex
                        IF VBR_Stackframe=0 OR (cpu(0)='0' AND VBR_Stackframe=2) THEN
1423
                                set(writePC_add) <= '1';
1424
--                              set_datatype <= "10";
1425
                        END IF;
1426
                        IF preSVmode='0' THEN
1427
                                set(changeMode) <= '1';
1428
                        END IF;
1429
                        setstate <= "01";
1430
                END IF;
1431
                IF interrupt='1' AND trap_berr='1' THEN
1432
                        next_micro_state <= trap0;
1433
                        IF preSVmode='0' THEN
1434
                                set(changeMode) <= '1';
1435
                        END IF;
1436
                        setstate <= "01";
1437
                END IF;
1438
                IF micro_state=int1 OR (interrupt='1' AND trap_trace='1') THEN
1439 8 tobiflex
-- paste and copy form TH       ---------       
1440
                        if trap_trace='1' AND (VBR_Stackframe=1 or (cpu(0)='1' AND VBR_Stackframe=2)) then
1441
                                next_micro_state <= trap00;  --TH
1442
                        else
1443
                                next_micro_state <= trap0;
1444
                        end if;
1445
------------------------------------
1446
--                      next_micro_state <= trap0;
1447 2 tobiflex
--                      IF cpu(0)='0' THEN
1448
--                              set_datatype <= "10";
1449
--                      END IF;
1450
                        IF preSVmode='0' THEN
1451
                                set(changeMode) <= '1';
1452
                        END IF;
1453
                        setstate <= "01";
1454
                END IF;
1455 8 tobiflex
        if micro_state = int1 or (interrupt = '1' and trap_trace = '1') then
1456
                                                if trap_trace='1' AND (VBR_Stackframe=1 or (cpu(0)='1' AND VBR_Stackframe=2)) then
1457
                                                  next_micro_state <= trap00;  --TH
1458
                                                else
1459
          next_micro_state <= trap0;
1460
                                                end if;
1461
          -- if cpu(0)='0' then
1462
          -- set_datatype <= "10";
1463
          -- end if;
1464
          if preSVmode = '0' then
1465
                set(changeMode) <= '1';
1466
          end if;
1467
          setstate <= "01";
1468
        end if;
1469 2 tobiflex
 
1470
                IF setexecOPC='1' AND FlagsSR(5)/=preSVmode THEN
1471
                        set(changeMode) <= '1';
1472
--                      setstate <= "01";
1473
--                      next_micro_state <= nop;
1474
                END IF;
1475
 
1476
                IF interrupt='1' AND trap_interrupt='1'THEN
1477
--                      skipFetch <= '1';
1478
                        next_micro_state <= int1;
1479
                        set(update_ld) <= '1';
1480
                        setstate <= "10";
1481
                END IF;
1482
 
1483
                IF set(changeMode)='1' THEN
1484
                        set(to_USP) <= '1';
1485
                        set(from_USP) <= '1';
1486
                        setstackaddr <='1';
1487
                END IF;
1488
 
1489
                IF ea_only='0' AND set(get_ea_now)='1' THEN
1490
                        setstate <= "10";
1491
--                      set_recall_last <= '1';
1492
--                      set(update_ld) <= '0';
1493
                END IF;
1494
 
1495
                IF setstate(1)='1' AND set_datatype(1)='1' THEN
1496
                        set(longaktion) <= '1';
1497
                END IF;
1498
 
1499
                IF (ea_build_now='1' AND decodeOPC='1') OR exec(ea_build)='1' THEN
1500
                        CASE opcode(5 downto 3) IS              --source
1501
                                WHEN "010"|"011"|"100" =>                                               -- -(An)+
1502
                                        set(get_ea_now) <='1';
1503
                                        setnextpass <= '1';
1504
                                        IF opcode(3)='1' THEN   --(An)+
1505
                                                set(postadd) <= '1';
1506
                                                IF opcode(2 downto 0)="111" THEN
1507
                                                        set(use_SP) <= '1';
1508
                                                END IF;
1509
                                        END IF;
1510
                                        IF opcode(5)='1' THEN   -- -(An)
1511
                                                set(presub) <= '1';
1512
                                                IF opcode(2 downto 0)="111" THEN
1513
                                                        set(use_SP) <= '1';
1514
                                                END IF;
1515
                                        END IF;
1516
                                WHEN "101" =>                           --(d16,An)
1517
                                        next_micro_state <= ld_dAn1;
1518
                                WHEN "110" =>                           --(d8,An,Xn)
1519
                                        next_micro_state <= ld_AnXn1;
1520
                                        getbrief <='1';
1521
                                WHEN "111" =>
1522
                                        CASE opcode(2 downto 0) IS
1523
                                                WHEN "000" =>                           --(xxxx).w
1524
                                                        next_micro_state <= ld_nn;
1525
                                                WHEN "001" =>                           --(xxxx).l
1526
                                                        set(longaktion) <= '1';
1527
                                                        next_micro_state <= ld_nn;
1528
                                                WHEN "010" =>                           --(d16,PC)
1529
                                                        next_micro_state <= ld_dAn1;
1530
                                                        set(dispouter) <= '1';
1531
                                                        set_Suppress_Base <= '1';
1532
                                                        set_PCbase <= '1';
1533
                                                WHEN "011" =>                           --(d8,PC,Xn)
1534
                                                        next_micro_state <= ld_AnXn1;
1535
                                                        getbrief <= '1';
1536
                                                        set(dispouter) <= '1';
1537
                                                        set_Suppress_Base <= '1';
1538
                                                        set_PCbase <= '1';
1539
                                                WHEN "100" =>                           --#data
1540
                                                        setnextpass <= '1';
1541
                                                        set_direct_data <= '1';
1542
                                                        IF datatype="10" THEN
1543
                                                                set(longaktion) <= '1';
1544
                                                        END IF;
1545
                                                WHEN OTHERS => NULL;
1546
                                        END CASE;
1547
                                WHEN OTHERS => NULL;
1548
                        END CASE;
1549
                END IF;
1550
------------------------------------------------------------------------------
1551
--prepere opcode
1552
------------------------------------------------------------------------------          
1553
                CASE opcode(15 downto 12) IS
1554
-- 0000 ----------------------------------------------------------------------------            
1555
                        WHEN "0000" =>
1556
                        IF opcode(8)='1' AND opcode(5 downto 3)="001" THEN --movep
1557
                                datatype <= "00";                               --Byte
1558
                                set(use_SP) <= '1';             --addr+2
1559
                                set(no_Flags) <='1';
1560
                                IF opcode(7)='0' THEN  --to register
1561
                                        set_exec(Regwrena) <= '1';
1562
                                        set_exec(opcMOVE) <= '1';
1563
                                        set(movepl) <= '1';
1564
                                END IF;
1565
                                IF decodeOPC='1' THEN
1566
                                        IF opcode(6)='1' THEN
1567
                                                set(movepl) <= '1';
1568
                                        END IF;
1569
                                        IF opcode(7)='0' THEN
1570
                                                set_direct_data <= '1';         -- to register
1571
                                        END IF;
1572
                                        next_micro_state <= movep1;
1573
                                END IF;
1574
                                IF setexecOPC='1' THEN
1575
                                        dest_hbits <='1';
1576
                                END IF;
1577
                        ELSE
1578
                                IF opcode(8)='1' OR opcode(11 downto 9)="100" THEN              --Bits
1579
                                        set_exec(opcBITS) <= '1';
1580
                                        set_exec(ea_data_OP1) <= '1';
1581
                                        IF opcode(7 downto 6)/="00" THEN
1582
                                                IF opcode(5 downto 4)="00" THEN
1583
                                                        set_exec(Regwrena) <= '1';
1584
                                                END IF;
1585
                                                write_back <= '1';
1586
                                        END IF;
1587
                                        IF opcode(5 downto 4)="00" THEN
1588
                                                datatype <= "10";                       --Long
1589
                                        ELSE
1590
                                                datatype <= "00";                       --Byte
1591
                                        END IF;
1592
                                        IF opcode(8)='0' THEN
1593
                                                IF decodeOPC='1' THEN
1594
                                                        next_micro_state <= nop;
1595
                                                        set(get_2ndOPC) <= '1';
1596
                                                        set(ea_build) <= '1';
1597
                                                END IF;
1598
                                        ELSE
1599
                                                ea_build_now <= '1';
1600
                                        END IF;
1601
                                ELSIF opcode(11 downto 9)="111" THEN            --MOVES not in 68000
1602
                                        trap_illegal <= '1';
1603
--                                      trap_addr_error <= '1';
1604
                                        trapmake <= '1';
1605
                                ELSE                                                            --andi, ...xxxi 
1606
                                        IF opcode(11 downto 9)="000" THEN       --ORI
1607
                                                set_exec(opcOR) <= '1';
1608
                                        END IF;
1609
                                        IF opcode(11 downto 9)="001" THEN       --ANDI
1610
                                                set_exec(opcAND) <= '1';
1611
                                        END IF;
1612
                                        IF opcode(11 downto 9)="010" OR opcode(11 downto 9)="011" THEN  --SUBI, ADDI
1613
                                                set_exec(opcADD) <= '1';
1614
                                        END IF;
1615
                                        IF opcode(11 downto 9)="101" THEN       --EORI
1616
                                                set_exec(opcEOR) <= '1';
1617
                                        END IF;
1618
                                        IF opcode(11 downto 9)="110" THEN       --CMPI
1619
                                                set_exec(opcCMP) <= '1';
1620
                                        END IF;
1621
                                        IF opcode(7)='0' AND opcode(5 downto 0)="111100" AND (set_exec(opcAND) OR set_exec(opcOR) OR set_exec(opcEOR))='1' THEN           --SR
1622
                                                IF decodeOPC='1' AND SVmode='0' AND opcode(6)='1' THEN  --SR
1623
                                                        trap_priv <= '1';
1624
                                                        trapmake <= '1';
1625
                                                ELSE
1626
                                                        set(no_Flags) <= '1';
1627
                                                        IF decodeOPC='1' THEN
1628
                                                                IF opcode(6)='1' THEN
1629
                                                                        set(to_SR) <= '1';
1630
                                                                END IF;
1631
                                                                set(to_CCR) <= '1';
1632
                                                                set(andiSR) <= set_exec(opcAND);
1633
                                                                set(eoriSR) <= set_exec(opcEOR);
1634
                                                                set(oriSR) <= set_exec(opcOR);
1635
                                                                setstate <= "01";
1636
                                                                next_micro_state <= nopnop;
1637
                                                        END IF;
1638
                                                END IF;
1639
                                        ELSE
1640
                                                IF decodeOPC='1' THEN
1641
                                                        next_micro_state <= andi;
1642
                                                        set(get_2ndOPC) <='1';
1643
                                                        set(ea_build) <= '1';
1644
                                                        set_direct_data <= '1';
1645
                                                        IF datatype="10" THEN
1646
                                                                set(longaktion) <= '1';
1647
                                                        END IF;
1648
                                                END IF;
1649
                                                IF opcode(5 downto 4)/="00" THEN
1650
                                                        set_exec(ea_data_OP1) <= '1';
1651
                                                END IF;
1652
                                                IF opcode(11 downto 9)/="110" THEN      --CMPI 
1653
                                                        IF opcode(5 downto 4)="00" THEN
1654
                                                                set_exec(Regwrena) <= '1';
1655
                                                        END IF;
1656
                                                        write_back <= '1';
1657
                                                END IF;
1658
                                                IF opcode(10 downto 9)="10" THEN        --CMPI, SUBI
1659
                                                        set(addsub) <= '1';
1660
                                                END IF;
1661
                                        END IF;
1662
                                END IF;
1663
                        END IF;
1664
 
1665
-- 0001, 0010, 0011 -----------------------------------------------------------------           
1666
                        WHEN "0001"|"0010"|"0011" =>                            --move.b, move.l, move.w
1667
                                set_exec(opcMOVE) <= '1';
1668
                                ea_build_now <= '1';
1669
                                IF opcode(8 downto 6)="001" THEN
1670
                                        set(no_Flags) <= '1';
1671
                                END IF;
1672
                                IF opcode(5 downto 4)="00" THEN --Dn, An
1673
                                        IF opcode(8 downto 7)="00" THEN
1674
                                                set_exec(Regwrena) <= '1';
1675
                                        END IF;
1676
                                END IF;
1677
                                CASE opcode(13 downto 12) IS
1678
                                        WHEN "01" => datatype <= "00";          --Byte
1679
                                        WHEN "10" => datatype <= "10";          --Long
1680
                                        WHEN OTHERS => datatype <= "01";        --Word
1681
                                END CASE;
1682
                                source_lowbits <= '1';                                  -- Dn=>  An=>
1683
                                IF opcode(3)='1' THEN
1684
                                        source_areg <= '1';
1685
                                END IF;
1686
 
1687
                                IF nextpass='1' OR opcode(5 downto 4)="00" THEN
1688
                                        dest_hbits <= '1';
1689
                                        IF opcode(8 downto 6)/="000" THEN
1690
                                                dest_areg <= '1';
1691
                                        END IF;
1692
                                END IF;
1693
--                              IF setstate="10" THEN
1694
--                                      set(update_ld) <= '0';
1695
--                              END IF;
1696
--
1697
                                IF micro_state=idle AND (nextpass='1' OR (opcode(5 downto 4)="00" AND decodeOPC='1')) THEN
1698
                                        CASE opcode(8 downto 6) IS              --destination
1699
                                                WHEN "000"|"001" =>                                             --Dn,An
1700
                                                                set_exec(Regwrena) <= '1';
1701
                                                WHEN "010"|"011"|"100" =>                                       --destination -(an)+
1702
                                                        IF opcode(6)='1' THEN   --(An)+
1703
                                                                set(postadd) <= '1';
1704
                                                                IF opcode(11 downto 9)="111" THEN
1705
                                                                        set(use_SP) <= '1';
1706
                                                                END IF;
1707
                                                        END IF;
1708
                                                        IF opcode(8)='1' THEN   -- -(An)
1709
                                                                set(presub) <= '1';
1710
                                                                IF opcode(11 downto 9)="111" THEN
1711
                                                                        set(use_SP) <= '1';
1712
                                                                END IF;
1713
                                                        END IF;
1714
                                                        setstate <= "11";
1715
                                                        next_micro_state <= nop;
1716
                                                        IF nextpass='0' THEN
1717
                                                                set(write_reg) <= '1';
1718
                                                        END IF;
1719
                                                WHEN "101" =>                           --(d16,An)
1720
                                                        next_micro_state <= st_dAn1;
1721
--                                                      getbrief <= '1';
1722
                                                WHEN "110" =>                           --(d8,An,Xn)
1723
                                                        next_micro_state <= st_AnXn1;
1724
                                                        getbrief <= '1';
1725
                                                WHEN "111" =>
1726
                                                        CASE opcode(11 downto 9) IS
1727
                                                                WHEN "000" =>                           --(xxxx).w
1728
                                                                        next_micro_state <= st_nn;
1729
                                                                WHEN "001" =>                           --(xxxx).l
1730
                                                                        set(longaktion) <= '1';
1731
                                                                        next_micro_state <= st_nn;
1732
                                                                WHEN OTHERS => NULL;
1733
                                                        END CASE;
1734
                                                WHEN OTHERS => NULL;
1735
                                        END CASE;
1736
                                END IF;
1737
---- 0100 ----------------------------------------------------------------------------          
1738
                        WHEN "0100" =>                          --rts_group
1739
                                IF opcode(8)='1' THEN           --lea
1740
                                        IF opcode(6)='1' THEN           --lea
1741
                                                IF opcode(7)='1' THEN
1742
                                                        source_lowbits <= '1';
1743
--                                                      IF opcode(5 downto 3)="000" AND opcode(10)='0' THEN             --ext
1744
                                                        IF opcode(5 downto 4)="00" THEN         --extb.l
1745
                                                                set_exec(opcEXT) <= '1';
1746
                                                                set_exec(opcMOVE) <= '1';
1747
                                                                set_exec(Regwrena) <= '1';
1748
--                                                              IF opcode(6)='0' THEN
1749
--                                                                      datatype <= "01";               --WORD
1750
--                                                              END IF;
1751
                                                        ELSE
1752
                                                                source_areg <= '1';
1753
                                                                ea_only <= '1';
1754
                                                                set_exec(Regwrena) <= '1';
1755
                                                                set_exec(opcMOVE) <='1';
1756
                                                                set(no_Flags) <='1';
1757
                                                                IF opcode(5 downto 3)="010" THEN        --lea (Am),An
1758
                                                                        dest_areg <= '1';
1759
                                                                        dest_hbits <= '1';
1760
                                                                ELSE
1761
                                                                        ea_build_now <= '1';
1762
                                                                END IF;
1763
                                                                IF set(get_ea_now)='1' THEN
1764
                                                                        setstate <= "01";
1765
                                                                        set_direct_data <= '1';
1766
                                                                END IF;
1767
                                                                IF setexecOPC='1' THEN
1768
                                                                        dest_areg <= '1';
1769
                                                                        dest_hbits <= '1';
1770
                                                                END IF;
1771
                                                        END IF;
1772
                                                ELSE
1773
                                                        trap_illegal <= '1';
1774
                                                        trapmake <= '1';
1775
                                                END IF;
1776
                                        ELSE                                                            --chk
1777
                                                IF opcode(7)='1' THEN
1778
                                                        datatype <= "01";       --Word
1779
                                                                set(trap_chk) <= '1';
1780
                                                        IF (c_out(1)='0' OR OP1out(15)='1' OR OP2out(15)='1') AND exec(opcCHK)='1' THEN
1781
                                                                trapmake <= '1';
1782
                                                        END IF;
1783
                                                ELSIF cpu(1)='1' THEN   --chk long for 68020
1784
                                                        datatype <= "10";       --Long
1785
                                                                set(trap_chk) <= '1';
1786
                                                        IF (c_out(2)='1' OR OP1out(31)='1' OR OP2out(31)='1') AND exec(opcCHK)='1' THEN
1787
                                                                trapmake <= '1';
1788
                                                        END IF;
1789
                                                ELSE
1790
                                                        trap_illegal <= '1';            -- chk long for 68020
1791
                                                        trapmake <= '1';
1792
                                                END IF;
1793
                                                IF opcode(7)='1' OR cpu(1)='1' THEN
1794
                                                        IF (nextpass='1' OR opcode(5 downto 4)="00") AND exec(opcCHK)='0' AND micro_state=idle THEN
1795
                                                                set_exec(opcCHK) <= '1';
1796
                                                        END IF;
1797
                                                        ea_build_now <= '1';
1798
                                                        set(addsub) <= '1';
1799
                                                        IF setexecOPC='1' THEN
1800
                                                                dest_hbits <= '1';
1801
                                                                source_lowbits <='1';
1802
                                                        END IF;
1803
                                                END IF;
1804
                                        END IF;
1805
                                ELSE
1806
                                        CASE opcode(11 downto 9) IS
1807
                                                WHEN "000"=>
1808
                                                        IF opcode(7 downto 6)="11" THEN                                 --move from SR
1809
                                                                IF SR_Read=0 OR (cpu(0)='0' AND SR_Read=2) OR SVmode='1'  THEN
1810
                                                                        ea_build_now <= '1';
1811
                                                                        set_exec(opcMOVESR) <= '1';
1812
                                                                        datatype <= "01";
1813
                                                                        write_back <='1';                                                       -- im 68000 wird auch erst gelesen
1814
                                                                        IF cpu(0)='1' AND state="10" THEN
1815
                                                                                skipFetch <= '1';
1816
                                                                        END IF;
1817
                                                                        IF opcode(5 downto 4)="00" THEN
1818
                                                                                set_exec(Regwrena) <= '1';
1819
                                                                        END IF;
1820
                                                                ELSE
1821
                                                                        trap_priv <= '1';
1822
                                                                        trapmake <= '1';
1823
                                                                END IF;
1824
                                                        ELSE                                                                    --negx
1825
                                                                ea_build_now <= '1';
1826
                                                                set_exec(use_XZFlag) <= '1';
1827
                                                                write_back <='1';
1828
                                                                set_exec(opcADD) <= '1';
1829
                                                                set(addsub) <= '1';
1830
                                                                source_lowbits <= '1';
1831
                                                                IF opcode(5 downto 4)="00" THEN
1832
                                                                        set_exec(Regwrena) <= '1';
1833
                                                                END IF;
1834
                                                                IF setexecOPC='1' THEN
1835
                                                                        set(OP1out_zero) <= '1';
1836
                                                                END IF;
1837
                                                        END IF;
1838
                                                WHEN "001"=>
1839
                                                        IF opcode(7 downto 6)="11" THEN                                 --move from CCR 68010
1840
                                                                IF SR_Read=1 OR (cpu(0)='1' AND SR_Read=2) THEN
1841
                                                                        ea_build_now <= '1';
1842
                                                                        set_exec(opcMOVESR) <= '1';
1843
                                                                        datatype <= "01";
1844
                                                                        write_back <='1';                                                       -- im 68000 wird auch erst gelesen
1845
--                                                                      IF state="10" THEN
1846
--                                                                              skipFetch <= '1';
1847
--                                                                      END IF;
1848
                                                                        IF opcode(5 downto 4)="00" THEN
1849
                                                                                set_exec(Regwrena) <= '1';
1850
                                                                        END IF;
1851
                                                                ELSE
1852
                                                                        trap_illegal <= '1';
1853
                                                                        trapmake <= '1';
1854
                                                                END IF;
1855
                                                        ELSE                                                                                    --clr
1856
                                                                ea_build_now <= '1';
1857
                                                                write_back <='1';
1858
                                                                set_exec(opcAND) <= '1';
1859
                                                        IF cpu(0)='1' AND state="10" THEN
1860
                                                                skipFetch <= '1';
1861
                                                        END IF;
1862
                                                                IF setexecOPC='1' THEN
1863
                                                                        set(OP1out_zero) <= '1';
1864
                                                                END IF;
1865
                                                                IF opcode(5 downto 4)="00" THEN
1866
                                                                        set_exec(Regwrena) <= '1';
1867
                                                                END IF;
1868
                                                        END IF;
1869
                                                WHEN "010"=>
1870
                                                        ea_build_now <= '1';
1871
                                                        IF opcode(7 downto 6)="11" THEN                                 --move to CCR
1872
                                                                datatype <= "01";
1873
                                                                source_lowbits <= '1';
1874
                                                                IF (decodeOPC='1' AND opcode(5 downto 4)="00") OR state="10" OR direct_data='1' THEN
1875
                                                                        set(to_CCR) <= '1';
1876
                                                                END IF;
1877
                                                        ELSE                                                                                    --neg
1878
                                                                write_back <='1';
1879
                                                                set_exec(opcADD) <= '1';
1880
                                                                set(addsub) <= '1';
1881
                                                                source_lowbits <= '1';
1882
                                                                IF opcode(5 downto 4)="00" THEN
1883
                                                                        set_exec(Regwrena) <= '1';
1884
                                                                END IF;
1885
                                                                IF setexecOPC='1' THEN
1886
                                                                        set(OP1out_zero) <= '1';
1887
                                                                END IF;
1888
                                                        END IF;
1889
                                                WHEN "011"=>                                                                            --not, move toSR
1890
                                                        IF opcode(7 downto 6)="11" THEN                                 --move to SR
1891
                                                                IF SVmode='1' THEN
1892
                                                                        ea_build_now <= '1';
1893
                                                                        datatype <= "01";
1894
                                                                        source_lowbits <= '1';
1895
                                                                        IF (decodeOPC='1' AND opcode(5 downto 4)="00") OR state="10" OR direct_data='1' THEN
1896
                                                                                set(to_SR) <= '1';
1897
                                                                                set(to_CCR) <= '1';
1898
                                                                        END IF;
1899
                                                                        IF exec(to_SR)='1' OR (decodeOPC='1' AND opcode(5 downto 4)="00") OR state="10" OR direct_data='1' THEN
1900
                                                                                setstate <="01";
1901
                                                                        END IF;
1902
                                                                ELSE
1903
                                                                        trap_priv <= '1';
1904
                                                                        trapmake <= '1';
1905
                                                                END IF;
1906
                                                        ELSE                                                                                    --not
1907
                                                                ea_build_now <= '1';
1908
                                                                write_back <='1';
1909
                                                                set_exec(opcEOR) <= '1';
1910
                                                                set_exec(ea_data_OP1) <= '1';
1911
                                                                IF opcode(5 downto 3)="000" THEN
1912
                                                                        set_exec(Regwrena) <= '1';
1913
                                                                END IF;
1914
                                                                IF setexecOPC='1' THEN
1915
                                                                        set(OP2out_one) <= '1';
1916
                                                                END IF;
1917
                                                        END IF;
1918
                                                WHEN "100"|"110"=>
1919
                                                        IF opcode(7)='1' THEN                   --movem, ext
1920
                                                                IF opcode(5 downto 3)="000" AND opcode(10)='0' THEN              --ext
1921
                                                                        source_lowbits <= '1';
1922
                                                                        set_exec(opcEXT) <= '1';
1923
                                                                        set_exec(opcMOVE) <= '1';
1924
                                                                        set_exec(Regwrena) <= '1';
1925
                                                                        IF opcode(6)='0' THEN
1926
                                                                                datatype <= "01";               --WORD
1927
                                                                        END IF;
1928
                                                                ELSE                                                                                                    --movem
1929
--                                                              IF opcode(11 downto 7)="10001" OR opcode(11 downto 7)="11001" THEN      --MOVEM
1930
                                                                        ea_only <= '1';
1931
                                                                        set(no_Flags) <= '1';
1932
                                                                        IF opcode(6)='0' THEN
1933
                                                                                datatype <= "01";               --Word transfer
1934
                                                                        END IF;
1935
                                                                        IF (opcode(5 downto 3)="100" OR opcode(5 downto 3)="011") AND state="01" THEN   -- -(An), (An)+
1936
                                                                                set_exec(save_memaddr) <= '1';
1937
                                                                                set_exec(Regwrena) <= '1';
1938
                                                                        END IF;
1939
                                                                        IF opcode(5 downto 3)="100" THEN        -- -(An)
1940
                                                                                movem_presub <= '1';
1941
                                                                                set(subidx) <= '1';
1942
                                                                        END IF;
1943
                                                                        IF state="10" THEN
1944
                                                                                set(Regwrena) <= '1';
1945
                                                                                set(opcMOVE) <= '1';
1946
                                                                        END IF;
1947
                                                                        IF decodeOPC='1' THEN
1948
                                                                                set(get_2ndOPC) <='1';
1949
                                                                                IF opcode(5 downto 3)="010" OR opcode(5 downto 3)="011" OR opcode(5 downto 3)="100" THEN
1950
                                                                                        next_micro_state <= movem1;
1951
                                                                                ELSE
1952
                                                                                        next_micro_state <= nop;
1953
                                                                                        set(ea_build) <= '1';
1954
                                                                                END IF;
1955
                                                                        END IF;
1956
                                                                        IF set(get_ea_now)='1' THEN
1957
                                                                                IF movem_run='1' THEN
1958
                                                                                        set(movem_action) <= '1';
1959
                                                                                        IF opcode(10)='0' THEN
1960
                                                                                                setstate <="11";
1961
                                                                                                set(write_reg) <= '1';
1962
                                                                                        ELSE
1963
                                                                                                setstate <="10";
1964
                                                                                        END IF;
1965
                                                                                        next_micro_state <= movem2;
1966
                                                                                        set(mem_addsub) <= '1';
1967
                                                                                ELSE
1968
                                                                                        setstate <="01";
1969
                                                                                END IF;
1970
                                                                        END IF;
1971
                                                                END IF;
1972
                                                        ELSE
1973
                                                                IF opcode(10)='1' THEN                                          --MUL.L, DIV.L 68020
1974
         --FPGA Multiplier for long                                                     
1975
                                                                        IF MUL_Hardware=1 AND (opcode(6)='0' AND (MUL_Mode=1 OR (cpu(1)='1' AND MUL_Mode=2))) THEN
1976
                                                                                IF decodeOPC='1' THEN
1977
                                                                                        next_micro_state <= nop;
1978
                                                                                        set(get_2ndOPC) <= '1';
1979
                                                                                        set(ea_build) <= '1';
1980
                                                                                END IF;
1981
                                                                                IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND exec(ea_build)='1') THEN
1982
                                                                                        dest_2ndHbits <= '1';
1983
                                                                                        datatype <= "10";
1984
                                                                                        set(opcMULU) <= '1';
1985
                                                                                        set(write_lowlong) <= '1';
1986
                                                                                        IF sndOPC(10)='1' THEN
1987
                                                                                                setstate <="01";
1988
                                                                                                next_micro_state <= mul_end2;
1989
                                                                                        END IF;
1990
                                                                                        set(Regwrena) <= '1';
1991
                                                                                END IF;
1992
                                                                                source_lowbits <='1';
1993
                                                                                datatype <= "10";
1994
 
1995
         --no FPGA Multplier                                            
1996
                                                                        ELSIF (opcode(6)='1' AND (DIV_Mode=1 OR (cpu(1)='1' AND DIV_Mode=2))) OR
1997
                                                                           (opcode(6)='0' AND (MUL_Mode=1 OR (cpu(1)='1' AND MUL_Mode=2))) THEN
1998
                                                                                IF decodeOPC='1' THEN
1999
                                                                                        next_micro_state <= nop;
2000
                                                                                        set(get_2ndOPC) <= '1';
2001
                                                                                        set(ea_build) <= '1';
2002
                                                                                END IF;
2003
                                                                                IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND exec(ea_build)='1')THEN
2004
                                                                                        setstate <="01";
2005
                                                                                        dest_2ndHbits <= '1';
2006
                                                                                        source_2ndLbits <= '1';
2007
                                                                                        IF opcode(6)='1' THEN
2008
                                                                                                next_micro_state <= div1;
2009
                                                                                        ELSE
2010
                                                                                                next_micro_state <= mul1;
2011
                                                                                                set(ld_rot_cnt) <= '1';
2012
                                                                                        END IF;
2013
                                                                                END IF;
2014
                                                                                IF z_error='0' AND set_V_Flag='0' AND set(opcDIVU)='1' THEN
2015
                                                                                        set(Regwrena) <= '1';
2016
                                                                                END IF;
2017
                                                                                source_lowbits <='1';
2018
                                                                                IF nextpass='1' OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2019
                                                                                        dest_hbits <= '1';
2020
                                                                                END IF;
2021
                                                                                datatype <= "10";
2022
                                                                        ELSE
2023
                                                                                trap_illegal <= '1';
2024
                                                                                trapmake <= '1';
2025
                                                                        END IF;
2026
 
2027
                                                                ELSE                                                    --pea, swap
2028
                                                                        IF opcode(6)='1' THEN
2029
                                                                                datatype <= "10";
2030
                                                                                IF opcode(5 downto 3)="000" THEN                --swap
2031
                                                                                        set_exec(opcSWAP) <= '1';
2032
                                                                                        set_exec(Regwrena) <= '1';
2033
                                                                                ELSIF opcode(5 downto 3)="001" THEN             --bkpt
2034 7 tobiflex
                                                                                        trap_illegal <= '1';
2035
                                                                                        trapmake <= '1';
2036 2 tobiflex
                                                                                ELSE                                                                    --pea
2037
                                                                                        ea_only <= '1';
2038
                                                                                        ea_build_now <= '1';
2039
                                                                                        IF nextpass='1' AND micro_state=idle THEN
2040
                                                                                                set(presub) <= '1';
2041
                                                                                                setstackaddr <='1';
2042
                                                                                                setstate <="11";
2043
                                                                                                next_micro_state <= nop;
2044
                                                                                        END IF;
2045
                                                                                        IF set(get_ea_now)='1' THEN
2046
                                                                                                setstate <="01";
2047
                                                                                        END IF;
2048
                                                                                END IF;
2049
                                                                        ELSE
2050
                                                                                IF opcode(5 downto 3)="001" THEN --link.l
2051
                                                                                        datatype <= "10";
2052
                                                                                        set_exec(opcADD) <= '1';                                                --for displacement
2053
                                                                                        set_exec(Regwrena) <= '1';
2054
                                                                                        set(no_Flags) <= '1';
2055
                                                                                        IF decodeOPC='1' THEN
2056
                                                                                                set(linksp) <= '1';
2057
                                                                                                set(longaktion) <= '1';
2058
                                                                                                next_micro_state <= link1;
2059
                                                                                                set(presub) <= '1';
2060
                                                                                                setstackaddr <='1';
2061
                                                                                                set(mem_addsub) <= '1';
2062
                                                                                                source_lowbits <= '1';
2063
                                                                                                source_areg <= '1';
2064
                                                                                                set(store_ea_data) <= '1';
2065
                                                                                        END IF;
2066
                                                                                ELSE                                            --nbcd  
2067
                                                                                        ea_build_now <= '1';
2068
                                                                                        set_exec(use_XZFlag) <= '1';
2069
                                                                                        write_back <='1';
2070
                                                                                        set_exec(opcADD) <= '1';
2071
                                                                                        set_exec(opcSBCD) <= '1';
2072
                                                                                        set(addsub) <= '1';
2073
                                                                                        source_lowbits <= '1';
2074
                                                                                        IF opcode(5 downto 4)="00" THEN
2075
                                                                                                set_exec(Regwrena) <= '1';
2076
                                                                                        END IF;
2077
                                                                                        IF setexecOPC='1' THEN
2078
                                                                                                set(OP1out_zero) <= '1';
2079
                                                                                        END IF;
2080
                                                                                END IF;
2081
                                                                        END IF;
2082
                                                                END IF;
2083
                                                        END IF;
2084
--0x4AXX                                                        
2085
                                                WHEN "101"=>                                            --tst, tas  4aFC - illegal
2086
--                                                      IF opcode(7 downto 2)="111111" THEN   --illegal
2087
                                                        IF opcode(7 downto 3)="11111" AND opcode(2 downto 1)/="00" THEN   --0x4AFC illegal  --0x4AFB BKP Sinclair QL
2088
                                                                trap_illegal <= '1';
2089
                                                                trapmake <= '1';
2090
                                                        ELSE
2091
                                                                ea_build_now <= '1';
2092
                                                                IF setexecOPC='1' THEN
2093
                                                                        source_lowbits <= '1';
2094
                                                                        IF opcode(3)='1' THEN                   --MC68020...
2095
                                                                                source_areg <= '1';
2096
                                                                        END IF;
2097
                                                                END IF;
2098
                                                                set_exec(opcMOVE) <= '1';
2099
                                                                IF opcode(7 downto 6)="11" THEN         --tas
2100
                                                                        set_exec_tas <= '1';
2101
                                                                        write_back <= '1';
2102
                                                                        datatype <= "00";                               --Byte
2103
                                                                        IF opcode(5 downto 4)="00" THEN
2104
                                                                                set_exec(Regwrena) <= '1';
2105
                                                                        END IF;
2106
                                                                END IF;
2107
                                                        END IF;
2108
----                                            WHEN "110"=>
2109
                                                WHEN "111"=>                                    --4EXX
2110
--
2111
--                                                                                      ea_only <= '1';
2112
--                                                                                      ea_build_now <= '1';
2113
--                                                                                      IF nextpass='1' AND micro_state=idle THEN
2114
--                                                                                              set(presub) <= '1';
2115
--                                                                                              setstackaddr <='1';
2116
--                                                                                              set(mem_addsub) <= '1';
2117
--                                                                                              setstate <="11";
2118
--                                                                                              next_micro_state <= nop;
2119
--                                                                                      END IF;
2120
--                                                                                      IF set(get_ea_now)='1' THEN
2121
--                                                                                              setstate <="01";
2122
--                                                                                      END IF;
2123
--                                                              
2124
 
2125
 
2126
 
2127
                                                        IF opcode(7)='1' THEN           --jsr, jmp
2128
                                                                datatype <= "10";
2129
                                                                ea_only <= '1';
2130
                                                                ea_build_now <= '1';
2131
                                                                IF exec(ea_to_pc)='1' THEN
2132
                                                                        next_micro_state <= nop;
2133
                                                                END IF;
2134
                                                                IF nextpass='1' AND micro_state=idle AND opcode(6)='0' THEN
2135
                                                                        set(presub) <= '1';
2136
                                                                        setstackaddr <='1';
2137
                                                                        setstate <="11";
2138
                                                                        next_micro_state <= nopnop;
2139
                                                                END IF;
2140
-- achtung buggefahr                                                            
2141
                                                                IF micro_state=ld_AnXn1 AND brief(8)='0'THEN                     --JMP/JSR n(Ax,Dn)
2142
                                                                        skipFetch <= '1';
2143
                                                                END IF;
2144
                                                                IF state="00" THEN
2145
                                                                        writePC <= '1';
2146
                                                                END IF;
2147
                                                                set(hold_dwr) <= '1';
2148
                                                                IF set(get_ea_now)='1' THEN                                     --jsr
2149
                                                                        IF exec(longaktion)='0' OR long_done='1' THEN
2150
                                                                                skipFetch <= '1';
2151
                                                                        END IF;
2152
                                                                        setstate <="01";
2153
                                                                        set(ea_to_pc) <= '1';
2154
                                                                END IF;
2155
                                                        ELSE                                            --
2156
                                                                CASE opcode(6 downto 0) IS
2157
                                                                        WHEN "1000000"|"1000001"|"1000010"|"1000011"|"1000100"|"1000101"|"1000110"|"1000111"|           --trap
2158
                                                                             "1001000"|"1001001"|"1001010"|"1001011"|"1001100"|"1001101"|"1001110"|"1001111" =>         --trap
2159
                                                                                        trap_trap <='1';
2160
                                                                                        trapmake <= '1';
2161
                                                                        WHEN "1010000"|"1010001"|"1010010"|"1010011"|"1010100"|"1010101"|"1010110"|"1010111"=>          --link word
2162
                                                                                datatype <= "10";
2163
                                                                                set_exec(opcADD) <= '1';                                                --for displacement
2164
                                                                                set_exec(Regwrena) <= '1';
2165
                                                                                set(no_Flags) <= '1';
2166
                                                                                IF decodeOPC='1' THEN
2167
                                                                                        next_micro_state <= link1;
2168
                                                                                        set(presub) <= '1';
2169
                                                                                        setstackaddr <='1';
2170
                                                                                        set(mem_addsub) <= '1';
2171
                                                                                        source_lowbits <= '1';
2172
                                                                                        source_areg <= '1';
2173
                                                                                        set(store_ea_data) <= '1';
2174
                                                                                END IF;
2175
 
2176
                                                                        WHEN "1011000"|"1011001"|"1011010"|"1011011"|"1011100"|"1011101"|"1011110"|"1011111" =>         --unlink
2177
                                                                                datatype <= "10";
2178
                                                                                set_exec(Regwrena) <= '1';
2179
                                                                                set_exec(opcMOVE) <= '1';
2180
                                                                                set(no_Flags) <= '1';
2181
                                                                                IF decodeOPC='1' THEN
2182
                                                                                        setstate <= "01";
2183
                                                                                        next_micro_state <= unlink1;
2184
                                                                                        set(opcMOVE) <= '1';
2185
                                                                                        set(Regwrena) <= '1';
2186
                                                                                        setstackaddr <='1';
2187
                                                                                        source_lowbits <= '1';
2188
                                                                                        source_areg <= '1';
2189
                                                                                END IF;
2190
 
2191
                                                                        WHEN "1100000"|"1100001"|"1100010"|"1100011"|"1100100"|"1100101"|"1100110"|"1100111" =>         --move An,USP
2192
                                                                                IF SVmode='1' THEN
2193
--                                                                                      set(no_Flags) <= '1';
2194
                                                                                        set(to_USP) <= '1';
2195
                                                                                        source_lowbits <= '1';
2196
                                                                                        source_areg <= '1';
2197
                                                                                        datatype <= "10";
2198
                                                                                ELSE
2199
                                                                                        trap_priv <= '1';
2200
                                                                                        trapmake <= '1';
2201
                                                                                END IF;
2202
                                                                        WHEN "1101000"|"1101001"|"1101010"|"1101011"|"1101100"|"1101101"|"1101110"|"1101111" =>         --move USP,An
2203
                                                                                IF SVmode='1' THEN
2204
--                                                                                      set(no_Flags) <= '1';
2205
                                                                                        set(from_USP) <= '1';
2206
                                                                                        datatype <= "10";
2207
                                                                                        set_exec(Regwrena) <= '1';
2208
                                                                                ELSE
2209
                                                                                        trap_priv <= '1';
2210
                                                                                        trapmake <= '1';
2211
                                                                                END IF;
2212
 
2213
                                                                        WHEN "1110000" =>                                       --reset
2214
                                                                                IF SVmode='0' THEN
2215
                                                                                        trap_priv <= '1';
2216
                                                                                        trapmake <= '1';
2217
                                                                                ELSE
2218
                                                                                        set(opcRESET) <= '1';
2219
                                                                                        IF decodeOPC='1' THEN
2220
                                                                                                set(ld_rot_cnt) <= '1';
2221
                                                                                                set_rot_cnt <= "000000";
2222
                                                                                        END IF;
2223
                                                                                END IF;
2224
 
2225
                                                                        WHEN "1110001" =>                                       --nop
2226
 
2227
                                                                        WHEN "1110010" =>                                       --stop
2228
                                                                                IF SVmode='0' THEN
2229
                                                                                        trap_priv <= '1';
2230
                                                                                        trapmake <= '1';
2231
                                                                                ELSE
2232
                                                                                        IF decodeOPC='1' THEN
2233
                                                                                                setnextpass <= '1';
2234
                                                                                                set_stop <= '1';
2235
                                                                                        END IF;
2236
                                                                                        IF stop='1' THEN
2237
                                                                                                skipFetch <= '1';
2238
                                                                                        END IF;
2239
 
2240
                                                                                END IF;
2241
 
2242
                                                                        WHEN "1110011"|"1110111" =>                                                                     --rte/rtr
2243
                                                                                IF SVmode='1' OR opcode(2)='1' THEN
2244
                                                                                        IF decodeOPC='1' THEN
2245
                                                                                                setstate <= "10";
2246
                                                                                                set(postadd) <= '1';
2247
                                                                                                setstackaddr <= '1';
2248
                                                                                                IF opcode(2)='1' THEN
2249
                                                                                                        set(directCCR) <= '1';
2250
                                                                                                ELSE
2251
                                                                                                        set(directSR) <= '1';
2252
                                                                                                END IF;
2253
                                                                                                next_micro_state <= rte1;
2254
                                                                                        END IF;
2255
                                                                                ELSE
2256
                                                                                        trap_priv <= '1';
2257
                                                                                        trapmake <= '1';
2258
                                                                                END IF;
2259
 
2260
                                                                        WHEN "1110100" =>                                                                       --rtd
2261
                                                                                datatype <= "10";
2262
                                                                                IF decodeOPC='1' THEN
2263
                                                                                        setstate <= "10";
2264
                                                                                        set(postadd) <= '1';
2265
                                                                                        setstackaddr <= '1';
2266
                                                                                        set(direct_delta) <= '1';
2267
                                                                                        set(directPC) <= '1';
2268
                                                                                        set_direct_data <= '1';
2269
                                                                                        next_micro_state <= rtd1;
2270
                                                                                END IF;
2271
 
2272
 
2273
                                                                        WHEN "1110101" =>                                                                       --rts
2274
                                                                                datatype <= "10";
2275
                                                                                IF decodeOPC='1' THEN
2276
                                                                                        setstate <= "10";
2277
                                                                                        set(postadd) <= '1';
2278
                                                                                        setstackaddr <= '1';
2279
                                                                                        set(direct_delta) <= '1';
2280
                                                                                        set(directPC) <= '1';
2281
                                                                                        next_micro_state <= nopnop;
2282
                                                                                END IF;
2283
 
2284
                                                                        WHEN "1110110" =>                                                                       --trapv
2285 8 tobiflex
                                                                                set_exec(opcTRAPV) <= '1';      --TH
2286 2 tobiflex
                                                                                IF decodeOPC='1' THEN
2287
                                                                                        setstate <= "01";
2288
                                                                                END IF;
2289
                                                                                IF Flags(1)='1' AND state="01" THEN
2290
                                                                                        trap_trapv <= '1';
2291
                                                                                        trapmake <= '1';
2292
                                                                                END IF;
2293
 
2294
                                                                        WHEN "1111010"|"1111011" =>                                                                     --movec
2295
                                                                                IF VBR_Stackframe=0 OR (cpu(0)='0' AND VBR_Stackframe=2) THEN
2296
                                                                                        trap_illegal <= '1';
2297
                                                                                        trapmake <= '1';
2298
                                                                                ELSIF SVmode='0' THEN
2299
                                                                                        trap_priv <= '1';
2300
                                                                                        trapmake <= '1';
2301
                                                                                ELSE
2302
                                                                                        datatype <= "10";       --Long
2303
                                                                                        IF last_data_read(11 downto 0)=X"800" THEN
2304
                                                                                                set(from_USP) <= '1';
2305
                                                                                                IF opcode(0)='1' THEN
2306
                                                                                                        set(to_USP) <= '1';
2307
                                                                                                END IF;
2308
                                                                                        END IF;
2309
                                                                                        IF opcode(0)='0' THEN
2310
                                                                                                set_exec(movec_rd) <= '1';
2311
                                                                                        ELSE
2312
                                                                                                set_exec(movec_wr) <= '1';
2313
                                                                                        END IF;
2314
                                                                                        IF decodeOPC='1' THEN
2315
                                                                                                next_micro_state <= movec1;
2316
                                                                                                getbrief <='1';
2317
                                                                                        END IF;
2318
                                                                                END IF;
2319
 
2320
                                                                        WHEN OTHERS =>
2321
                                                                                trap_illegal <= '1';
2322
                                                                                trapmake <= '1';
2323
                                                                END CASE;
2324
                                                        END IF;
2325
                                                WHEN OTHERS => NULL;
2326
                                        END CASE;
2327
                                END IF;
2328
--                                      
2329
---- 0101 ----------------------------------------------------------------------------          
2330
                        WHEN "0101" =>                                                          --subq, addq    
2331
 
2332
                                        IF opcode(7 downto 6)="11" THEN --dbcc
2333
                                                IF opcode(5 downto 3)="001" THEN --dbcc
2334
                                                        IF decodeOPC='1' THEN
2335
                                                                next_micro_state <= dbcc1;
2336
                                                                set(OP2out_one) <= '1';
2337
                                                                data_is_source <= '1';
2338
                                                        END IF;
2339
                                                ELSE                            --Scc
2340
                                                        datatype <= "00";                       --Byte
2341
                                                        ea_build_now <= '1';
2342
                                                        write_back <= '1';
2343
                                                        set_exec(opcScc) <= '1';
2344
                                                        IF cpu(0)='1' AND state="10" THEN
2345
                                                                skipFetch <= '1';
2346
                                                        END IF;
2347
                                                        IF opcode(5 downto 4)="00" THEN
2348
                                                                set_exec(Regwrena) <= '1';
2349
                                                        END IF;
2350
                                                END IF;
2351
                                        ELSE                                    --addq, subq
2352
                                                ea_build_now <= '1';
2353
                                                IF opcode(5 downto 3)="001" THEN
2354
                                                        set(no_Flags) <= '1';
2355
                                                END IF;
2356
                                                IF opcode(8)='1' THEN
2357
                                                        set(addsub) <= '1';
2358
                                                END IF;
2359
                                                write_back <= '1';
2360
                                                set_exec(opcADDQ) <= '1';
2361
                                                set_exec(opcADD) <= '1';
2362
                                                set_exec(ea_data_OP1) <= '1';
2363
                                                IF opcode(5 downto 4)="00" THEN
2364
                                                        set_exec(Regwrena) <= '1';
2365
                                                END IF;
2366
                                        END IF;
2367
--                              
2368
---- 0110 ----------------------------------------------------------------------------          
2369
                        WHEN "0110" =>                          --bra,bsr,bcc
2370
                                datatype <= "10";
2371
 
2372
                                IF micro_state=idle THEN
2373
                                        IF opcode(11 downto 8)="0001" THEN              --bsr
2374
                                                set(presub) <= '1';
2375
                                                setstackaddr <='1';
2376
                                                IF opcode(7 downto 0)="11111111" THEN
2377
                                                        next_micro_state <= bsr2;
2378
                                                        set(longaktion) <= '1';
2379
                                                ELSIF opcode(7 downto 0)="00000000" THEN
2380
                                                        next_micro_state <= bsr2;
2381
                                                ELSE
2382
                                                        next_micro_state <= bsr1;
2383
                                                        setstate <= "11";
2384
                                                        writePC <= '1';
2385
                                                END IF;
2386
                                        ELSE                                                                    --bra
2387
                                                IF opcode(7 downto 0)="11111111" THEN
2388
                                                        next_micro_state <= bra1;
2389
                                                        set(longaktion) <= '1';
2390
                                                ELSIF opcode(7 downto 0)="00000000" THEN
2391
                                                        next_micro_state <= bra1;
2392
                                                ELSE
2393
                                                        setstate <= "01";
2394
                                                        next_micro_state <= bra1;
2395
                                                END IF;
2396
                                        END IF;
2397
                                END IF;
2398
 
2399
-- 0111 ----------------------------------------------------------------------------            
2400
                        WHEN "0111" =>                          --moveq
2401
                                        datatype <= "10";               --Long
2402
                                        set_exec(Regwrena) <= '1';
2403
                                        set_exec(opcMOVEQ) <= '1';
2404
                                        set_exec(opcMOVE) <= '1';
2405
                                        dest_hbits <= '1';
2406
 
2407
---- 1000 ----------------------------------------------------------------------------          
2408
                        WHEN "1000" =>                                                          --or    
2409
                                IF opcode(7 downto 6)="11" THEN --divu, divs
2410
                                        IF DIV_Mode/=3 THEN
2411
                                                IF opcode(5 downto 4)="00" THEN --Dn, An
2412
                                                        regdirectsource <= '1';
2413
                                                END IF;
2414
                                                IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2415
                                                        setstate <="01";
2416
                                                        next_micro_state <= div1;
2417
                                                END IF;
2418
                                                ea_build_now <= '1';
2419
                                                IF z_error='0' AND set_V_Flag='0' THEN
2420
                                                        set_exec(Regwrena) <= '1';
2421
                                                END IF;
2422
                                                        source_lowbits <='1';
2423
                                                IF nextpass='1' OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2424
                                                        dest_hbits <= '1';
2425
                                                END IF;
2426
                                                datatype <= "01";
2427
                                        ELSE
2428
                                                trap_illegal <= '1';
2429
                                                trapmake <= '1';
2430
                                        END IF;
2431
 
2432
                                ELSIF opcode(8)='1' AND opcode(5 downto 4)="00" THEN    --sbcd, pack , unpack
2433
                                        IF opcode(7 downto 6)="00" THEN --sbcd
2434
                                                build_bcd <= '1';
2435
                                                set_exec(opcADD) <= '1';
2436
                                                set_exec(opcSBCD) <= '1';
2437
                                                set(addsub) <= '1';
2438
                                        ELSIF opcode(7 downto 6)="01" OR opcode(7 downto 6)="10" THEN   --pack , unpack
2439
                                                set_exec(ea_data_OP1) <= '1';
2440
                                                set(no_Flags) <= '1';
2441
                                                source_lowbits <='1';
2442
                                                IF opcode(7 downto 6) = "01" THEN       --pack
2443
                                                        set_exec(opcPACK) <= '1';
2444
                                                        datatype <= "01";                               --Word
2445
                                                ELSE                                                            --unpk
2446
                                                        set_exec(opcUNPACK) <= '1';
2447
                                                        datatype <= "00";                               --Byte
2448
                                                END IF;
2449
                                                IF opcode(3)='0' THEN
2450
                                                        IF opcode(7 downto 6) = "01" THEN       --pack
2451
                                                                set_datatype <= "00";           --Byte
2452
                                                        ELSE                                                            --unpk
2453
                                                                set_datatype <= "01";           --Word
2454
                                                        END IF;
2455
                                                        set_exec(Regwrena) <= '1';
2456
                                                        dest_hbits <= '1';
2457
                                                        IF decodeOPC='1' THEN
2458
                                                                next_micro_state <= nop;
2459
--                                                              set_direct_data <= '1';
2460
                                                                set(store_ea_packdata) <= '1';
2461
                                                                set(store_ea_data) <= '1';
2462
                                                        END IF;
2463
                                                ELSE                            -- pack -(Ax),-(Ay)
2464
                                                        write_back <= '1';
2465
                                                        IF decodeOPC='1' THEN
2466
                                                                next_micro_state <= pack1;
2467
                                                                set_direct_data <= '1';
2468
                                                        END IF;
2469
                                                END IF;
2470
                                        ELSE
2471
                                                trap_illegal <= '1';
2472
                                                trapmake <= '1';
2473
                                        END IF;
2474
                                ELSE                                                                    --or
2475
                                        set_exec(opcOR) <= '1';
2476
                                        build_logical <= '1';
2477
                                END IF;
2478
 
2479
---- 1001, 1101 -----------------------------------------------------------------------         
2480
                        WHEN "1001"|"1101" =>                                           --sub, add      
2481
                                set_exec(opcADD) <= '1';
2482
                                ea_build_now <= '1';
2483
                                IF opcode(14)='0' THEN
2484
                                        set(addsub) <= '1';
2485
                                END IF;
2486
                                IF opcode(7 downto 6)="11" THEN --      --adda, suba
2487
                                        IF opcode(8)='0' THEN    --adda.w, suba.w
2488
                                                datatype <= "01";       --Word
2489
                                        END IF;
2490
                                        set_exec(Regwrena) <= '1';
2491
                                        source_lowbits <='1';
2492
                                        IF opcode(3)='1' THEN
2493
                                                source_areg <= '1';
2494
                                        END IF;
2495
                                        set(no_Flags) <= '1';
2496
                                        IF setexecOPC='1' THEN
2497
                                                dest_areg <='1';
2498
                                                dest_hbits <= '1';
2499
                                        END IF;
2500
                                ELSE
2501
                                        IF opcode(8)='1' AND opcode(5 downto 4)="00" THEN               --addx, subx
2502
                                                build_bcd <= '1';
2503
                                        ELSE                                                    --sub, add
2504
                                                build_logical <= '1';
2505
                                        END IF;
2506
                                END IF;
2507
 
2508
--                              
2509
---- 1010 ----------------------------------------------------------------------------          
2510
                        WHEN "1010" =>                                                  --Trap 1010
2511
                                trap_1010 <= '1';
2512
                                trapmake <= '1';
2513
---- 1011 ----------------------------------------------------------------------------          
2514
                        WHEN "1011" =>                                                  --eor, cmp
2515
                                ea_build_now <= '1';
2516
                                IF opcode(7 downto 6)="11" THEN --CMPA
2517
                                        IF opcode(8)='0' THEN    --cmpa.w
2518
                                                datatype <= "01";       --Word
2519
                                                set_exec(opcCPMAW) <= '1';
2520
                                        END IF;
2521
                                        set_exec(opcCMP) <= '1';
2522
                                        IF setexecOPC='1' THEN
2523
                                                source_lowbits <='1';
2524
                                                IF opcode(3)='1' THEN
2525
                                                        source_areg <= '1';
2526
                                                END IF;
2527
                                                dest_areg <='1';
2528
                                                dest_hbits <= '1';
2529
                                        END IF;
2530
                                        set(addsub) <= '1';
2531
                                ELSE
2532
                                        IF opcode(8)='1' THEN
2533
                                                IF opcode(5 downto 3)="001" THEN                --cmpm
2534
                                                        set_exec(opcCMP) <= '1';
2535
                                                        IF decodeOPC='1' THEN
2536
                                                                IF opcode(2 downto 0)="111" THEN
2537
                                                                        set(use_SP) <= '1';
2538
                                                                END IF;
2539
                                                                setstate <= "10";
2540
                                                                set(update_ld) <= '1';
2541
                                                                set(postadd) <= '1';
2542
                                                                next_micro_state <= cmpm;
2543
                                                        END IF;
2544
                                                        set_exec(ea_data_OP1) <= '1';
2545
                                                        set(addsub) <= '1';
2546
                                                ELSE                                            --EOR
2547
                                                        build_logical <= '1';
2548
                                                        set_exec(opcEOR) <= '1';
2549
                                                END IF;
2550
                                        ELSE                                                    --CMP
2551
                                                build_logical <= '1';
2552
                                                set_exec(opcCMP) <= '1';
2553
                                                set(addsub) <= '1';
2554
                                        END IF;
2555
                                END IF;
2556
--                              
2557
---- 1100 ----------------------------------------------------------------------------          
2558
                        WHEN "1100" =>                                                          --and, exg
2559
                                IF opcode(7 downto 6)="11" THEN --mulu, muls
2560
                                        IF MUL_Mode/=3 THEN
2561
                                                IF opcode(5 downto 4)="00" THEN --Dn, An
2562
                                                        regdirectsource <= '1';
2563
                                                END IF;
2564
                                                IF (micro_state=idle AND nextpass='1') OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2565
                                                        IF MUL_Hardware=0 THEN
2566
                                                                setstate <="01";
2567
                                                                set(ld_rot_cnt) <= '1';
2568
                                                                next_micro_state <= mul1;
2569
                                                        ELSE
2570
                                                                set_exec(write_lowlong) <= '1';
2571
                                                                set_exec(opcMULU) <= '1';
2572
                                                        END IF;
2573
                                                END IF;
2574
                                                ea_build_now <= '1';
2575
                                                set_exec(Regwrena) <= '1';
2576
                                                source_lowbits <='1';
2577
                                                IF (nextpass='1') OR (opcode(5 downto 4)="00" AND decodeOPC='1') THEN
2578
                                                        dest_hbits <= '1';
2579
                                                END IF;
2580
                                                datatype <= "01";
2581
                                                IF setexecOPC='1' THEN
2582
                                                        datatype <= "10";
2583
                                                END IF;
2584
 
2585
                                        ELSE
2586
                                                trap_illegal <= '1';
2587
                                                trapmake <= '1';
2588
                                        END IF;
2589
 
2590
                                ELSIF opcode(8)='1' AND opcode(5 downto 4)="00" THEN    --exg, abcd
2591
                                        IF opcode(7 downto 6)="00" THEN --abcd
2592
                                                build_bcd <= '1';
2593
                                                set_exec(opcADD) <= '1';
2594
                                                set_exec(opcABCD) <= '1';
2595
                                        ELSE                                                                    --exg
2596
                                                datatype <= "10";
2597
                                                set(Regwrena) <= '1';
2598
                                                set(exg) <= '1';
2599
                                                IF opcode(6)='1' AND opcode(3)='1' THEN
2600
                                                        dest_areg <= '1';
2601
                                                        source_areg <= '1';
2602
                                                END IF;
2603
                                                IF decodeOPC='1' THEN
2604
                                                        setstate <= "01";
2605
                                                ELSE
2606
                                                        dest_hbits <= '1';
2607
                                                END IF;
2608
                                        END IF;
2609
                                ELSE                                                                    --and
2610
                                        set_exec(opcAND) <= '1';
2611
                                        build_logical <= '1';
2612
                                END IF;
2613
--                              
2614
---- 1110 ----------------------------------------------------------------------------          
2615
                        WHEN "1110" =>                                                          --rotation / bitfield
2616
                                IF opcode(7 downto 6)="11" THEN
2617
                                        IF opcode(11)='0' THEN
2618
                                                IF BarrelShifter=0 THEN
2619
                                                        set_exec(opcROT) <= '1';
2620
                                                ELSE
2621
                                                        set_exec(exec_BS) <='1';
2622
                                                END IF;
2623
                                                ea_build_now <= '1';
2624
                                                datatype <= "01";
2625
                                                set_rot_bits <= opcode(10 downto 9);
2626
                                                set_exec(ea_data_OP1) <= '1';
2627
                                                write_back <= '1';
2628
                                        ELSE            --bitfield
2629
                                                IF BitField=0 OR (cpu(1)='0' AND BitField=2) THEN
2630
                                                        trap_illegal <= '1';
2631
                                                        trapmake <= '1';
2632
                                                ELSE
2633
                                                        IF decodeOPC='1' THEN
2634
                                                                next_micro_state <= nop;
2635
                                                                set(get_2ndOPC) <= '1';
2636
                                                                set(ea_build) <= '1';
2637
                                                        END IF;
2638
                                                        set_exec(opcBF) <= '1';
2639
--              000-bftst, 001-bfextu, 010-bfchg, 011-bfexts, 100-bfclr, 101-bfff0, 110-bfset, 111-bfins                                                                
2640
                                                        IF opcode(10)='1' OR opcode(8)='0' THEN
2641
                                                                set_exec(opcBFwb) <= '1';                       --'1' for tst,chg,clr,ffo,set,ins    --'0' for extu,exts
2642
                                                        END IF;
2643
                                                        IF opcode(10 downto 8)="111" THEN       --BFINS
2644
                                                                set_exec(ea_data_OP1) <= '1';
2645
                                                        END IF;
2646
 
2647
                                                        IF opcode(10 downto 8)="010" OR opcode(10 downto 8)="100" OR opcode(10 downto 8)="110" OR opcode(10 downto 8)="111" THEN
2648
                                                                write_back <= '1';
2649
                                                        END IF;
2650
                                                        ea_only <= '1';
2651
                                                        IF opcode(10 downto 8)="001" OR opcode(10 downto 8)="011" OR opcode(10 downto 8)="101" THEN
2652
                                                                set_exec(Regwrena) <= '1';
2653
                                                        END IF;
2654
                                                        IF opcode(4 downto 3)="00" THEN
2655
                                                                IF opcode(10 downto 8)/="000" THEN
2656
                                                                        set_exec(Regwrena) <= '1';
2657
                                                                END IF;
2658
                                                                IF exec(ea_build)='1' THEN
2659
                                                                        dest_2ndHbits <= '1';
2660
                                                                        source_2ndLbits <= '1';
2661
                                                                        set(get_bfoffset) <='1';
2662
                                                                        setstate <= "01";
2663
                                                                END IF;
2664
                                                        END IF;
2665
                                                        IF set(get_ea_now)='1' THEN
2666
                                                                setstate <= "01";
2667
                                                        END IF;
2668
                                                        IF exec(get_ea_now)='1' THEN
2669
                                                                dest_2ndHbits <= '1';
2670
                                                                source_2ndLbits <= '1';
2671
                                                                set(get_bfoffset) <='1';
2672
                                                                setstate <= "01";
2673
                                                                set(mem_addsub) <='1';
2674
                                                                next_micro_state <= bf1;
2675
                                                        END IF;
2676
 
2677
                                                        IF setexecOPC='1' THEN
2678
                                                                IF opcode(10 downto 8)="111" THEN       --BFINS
2679
                                                                        source_2ndHbits <= '1';
2680
                                                                ELSE
2681
                                                                        source_lowbits <= '1';
2682
                                                                END IF;
2683
                                                                IF opcode(10 downto 8)="001" OR opcode(10 downto 8)="011" OR opcode(10 downto 8)="101" THEN     --BFEXT, BFFFO
2684
                                                                        dest_2ndHbits <= '1';
2685
                                                                END IF;
2686
                                                        END IF;
2687
                                                END IF;
2688
                                        END IF;
2689
                                ELSE
2690
                                        data_is_source <= '1';
2691
                                        IF BarrelShifter=0 OR (cpu(1)='0' AND BarrelShifter=2) THEN
2692
 
2693
                                                set_exec(opcROT) <= '1';
2694
                                                set_rot_bits <= opcode(4 downto 3);
2695
                                                set_exec(Regwrena) <= '1';
2696
                                                IF decodeOPC='1' THEN
2697
                                                        IF opcode(5)='1' THEN
2698
                                                                next_micro_state <= rota1;
2699
                                                                set(ld_rot_cnt) <= '1';
2700
                                                                setstate <= "01";
2701
                                                        ELSE
2702
                                                                set_rot_cnt(2 downto 0) <= opcode(11 downto 9);
2703
                                                                IF opcode(11 downto 9)="000" THEN
2704
                                                                        set_rot_cnt(3) <='1';
2705
                                                                ELSE
2706
                                                                        set_rot_cnt(3) <='0';
2707
                                                                END IF;
2708
                                                        END IF;
2709
                                                END IF;
2710
                                        ELSE
2711
                                                set_exec(exec_BS) <='1';
2712
                                                set_rot_bits <= opcode(4 downto 3);
2713
                                                set_exec(Regwrena) <= '1';
2714
                                        END IF;
2715
                                END IF;
2716
--                                                      
2717
----      ----------------------------------------------------------------------------          
2718
                        WHEN OTHERS =>
2719
                                trap_1111 <= '1';
2720
                                trapmake <= '1';
2721
 
2722
                END CASE;
2723
 
2724
-- use for AND, OR, EOR, CMP
2725
                IF build_logical='1' THEN
2726
                        ea_build_now <= '1';
2727
                        IF set_exec(opcCMP)='0' AND (opcode(8)='0' OR opcode(5 downto 4)="00" ) THEN
2728
                                set_exec(Regwrena) <= '1';
2729
                        END IF;
2730
                        IF opcode(8)='1' THEN
2731
                                write_back <= '1';
2732
                                set_exec(ea_data_OP1) <= '1';
2733
                        ELSE
2734
                                source_lowbits <='1';
2735
                                IF opcode(3)='1' THEN           --use for cmp
2736
                                        source_areg <= '1';
2737
                                END IF;
2738
                                IF setexecOPC='1' THEN
2739
                                        dest_hbits <= '1';
2740
                                END IF;
2741
                        END IF;
2742
                END IF;
2743
 
2744
-- use for ABCD, SBCD
2745
                IF build_bcd='1' THEN
2746
                        set_exec(use_XZFlag) <= '1';
2747
                        set_exec(ea_data_OP1) <= '1';
2748
                        write_back <= '1';
2749
                        source_lowbits <='1';
2750
                        IF opcode(3)='1' THEN
2751
                                IF decodeOPC='1' THEN
2752
                                        IF opcode(2 downto 0)="111" THEN
2753
                                                set(use_SP) <= '1';
2754
                                        END IF;
2755
                                        setstate <= "10";
2756
                                        set(update_ld) <= '1';
2757
                                        set(presub) <= '1';
2758
                                        next_micro_state <= op_AxAy;
2759
                                        dest_areg <= '1';                               --???
2760
                                END IF;
2761
                        ELSE
2762
                                dest_hbits <= '1';
2763
                                set_exec(Regwrena) <= '1';
2764
                        END IF;
2765
                END IF;
2766
 
2767
 
2768
------------------------------------------------------------------------------          
2769
------------------------------------------------------------------------------          
2770
                IF set_Z_error='1'  THEN                -- divu by zero
2771
                        trapmake <= '1';                        --wichtig for USP
2772
                        IF trapd='0' THEN
2773
                                writePC <= '1';
2774
                        END IF;
2775
                END IF;
2776
 
2777
-----------------------------------------------------------------------------
2778
-- execute microcode
2779
-----------------------------------------------------------------------------
2780
                IF rising_edge(clk) THEN
2781
                IF Reset='1' THEN
2782
                                micro_state <= ld_nn;
2783
                        ELSIF clkena_lw='1' THEN
2784
                                trapd <= trapmake;
2785
                                micro_state <= next_micro_state;
2786
                        END IF;
2787
                END IF;
2788
 
2789
                        CASE micro_state IS
2790
                                WHEN ld_nn =>           -- (nnnn).w/l=>
2791
                                        set(get_ea_now) <='1';
2792
                                        setnextpass <= '1';
2793
                                        set(addrlong) <= '1';
2794
 
2795
                                WHEN st_nn =>           -- =>(nnnn).w/l
2796
                                        setstate <= "11";
2797
                                        set(addrlong) <= '1';
2798
                                        next_micro_state <= nop;
2799
 
2800
                                WHEN ld_dAn1 =>         -- d(An)=>, --d(PC)=>
2801
                                        set(get_ea_now) <='1';
2802
                                        setdisp <= '1';         --word
2803
                                        setnextpass <= '1';
2804
 
2805
                                WHEN ld_AnXn1 =>                -- d(An,Xn)=>, --d(PC,Xn)=>
2806
                                        IF brief(8)='0' OR extAddr_Mode=0 OR (cpu(1)='0' AND extAddr_Mode=2) THEN
2807
                                                setdisp <= '1';         --byte  
2808
                                                setdispbyte <= '1';
2809
                                                setstate <= "01";
2810
                                                set(briefext) <= '1';
2811
                                                next_micro_state <= ld_AnXn2;
2812
                                        ELSE
2813
                                                IF brief(7)='1'THEN             --suppress Base
2814
                                                        set_suppress_base <= '1';
2815
                                                ELSIF exec(dispouter)='1' THEN
2816
                                                        set(dispouter) <= '1';
2817
                                                END IF;
2818
                                                IF brief(5)='0' THEN --NULL Base Displacement
2819
                                                        setstate <= "01";
2820
                                                ELSE  --WORD Base Displacement
2821
                                                        IF brief(4)='1' THEN
2822
                                                                set(longaktion) <= '1'; --LONG Base Displacement
2823
                                                        END IF;
2824
                                                END IF;
2825
                                                next_micro_state <= ld_229_1;
2826
                                        END IF;
2827
 
2828
                                WHEN ld_AnXn2 =>
2829
                                        set(get_ea_now) <='1';
2830
                                        setdisp <= '1';         --brief
2831
                                        setnextpass <= '1';
2832
 
2833
-------------------------------------------------------------------------------------                                   
2834
 
2835
                                WHEN ld_229_1 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2836
                                        IF brief(5)='1' THEN    --Base Displacement
2837
                                                setdisp <= '1';         --add last_data_read
2838
                                        END IF;
2839
                                        IF brief(6)='0' AND brief(2)='0' THEN --Preindex or Index
2840
                                                set(briefext) <= '1';
2841
                                                setstate <= "01";
2842
                                                IF brief(1 downto 0)="00" THEN
2843
                                                        next_micro_state <= ld_AnXn2;
2844
                                                ELSE
2845
                                                        next_micro_state <= ld_229_2;
2846
                                                END IF;
2847
                                        ELSE
2848
                                                IF brief(1 downto 0)="00" THEN
2849
                                                        set(get_ea_now) <='1';
2850
                                                        setnextpass <= '1';
2851
                                                ELSE
2852
                                                        setstate <= "10";
2853
                                                        set(longaktion) <= '1';
2854
                                                        next_micro_state <= ld_229_3;
2855
                                                END IF;
2856
                                        END IF;
2857
 
2858
                                WHEN ld_229_2 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2859
                                        setdisp <= '1';         -- add Index
2860
                                        setstate <= "10";
2861
                                        set(longaktion) <= '1';
2862
                                        next_micro_state <= ld_229_3;
2863
 
2864
                                WHEN ld_229_3 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2865
                                        set_suppress_base <= '1';
2866
                                        set(dispouter) <= '1';
2867
                                        IF brief(1)='0' THEN --NULL Outer Displacement
2868
                                                setstate <= "01";
2869
                                        ELSE  --WORD Outer Displacement
2870
                                                IF brief(0)='1' THEN
2871
                                                        set(longaktion) <= '1'; --LONG Outer Displacement
2872
                                                END IF;
2873
                                        END IF;
2874
                                        next_micro_state <= ld_229_4;
2875
 
2876
                                WHEN ld_229_4 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2877
                                        IF brief(1)='1' THEN  -- Outer Displacement
2878
                                                setdisp <= '1';   --add last_data_read
2879
                                        END IF;
2880
                                        IF brief(6)='0' AND brief(2)='1' THEN --Postindex
2881
                                                set(briefext) <= '1';
2882
                                                setstate <= "01";
2883
                                                next_micro_state <= ld_AnXn2;
2884
                                        ELSE
2885
                                                set(get_ea_now) <='1';
2886
                                                setnextpass <= '1';
2887
                                        END IF;
2888
 
2889
----------------------------------------------------------------------------------------                                
2890
                                WHEN st_dAn1 =>         -- =>d(An)
2891
                                        setstate <= "11";
2892
                                        setdisp <= '1';         --word
2893
                                        next_micro_state <= nop;
2894
 
2895
                                WHEN st_AnXn1 =>                -- =>d(An,Xn)
2896
                                        IF brief(8)='0' OR extAddr_Mode=0 OR (cpu(1)='0' AND extAddr_Mode=2) THEN
2897
                                                setdisp <= '1';         --byte  
2898
                                                setdispbyte <= '1';
2899
                                                setstate <= "01";
2900
                                                set(briefext) <= '1';
2901
                                                next_micro_state <= st_AnXn2;
2902
                                        ELSE
2903
                                                IF brief(7)='1'THEN             --suppress Base
2904
                                                        set_suppress_base <= '1';
2905
--                                              ELSIF exec(dispouter)='1' THEN
2906
--                                                      set(dispouter) <= '1';
2907
                                                END IF;
2908
                                                IF brief(5)='0' THEN --NULL Base Displacement
2909
                                                        setstate <= "01";
2910
                                                ELSE  --WORD Base Displacement
2911
                                                        IF brief(4)='1' THEN
2912
                                                                set(longaktion) <= '1'; --LONG Base Displacement
2913
                                                        END IF;
2914
                                                END IF;
2915
                                                next_micro_state <= st_229_1;
2916
                                        END IF;
2917
 
2918
                                WHEN st_AnXn2 =>
2919
                                        setstate <= "11";
2920
                                        setdisp <= '1';         --brief 
2921
                                        next_micro_state <= nop;
2922
 
2923
-------------------------------------------------------------------------------------                                   
2924
 
2925
                                WHEN st_229_1 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2926
                                        IF brief(5)='1' THEN    --Base Displacement
2927
                                                setdisp <= '1';         --add last_data_read
2928
                                        END IF;
2929
                                        IF brief(6)='0' AND brief(2)='0' THEN --Preindex or Index
2930
                                                set(briefext) <= '1';
2931
                                                setstate <= "01";
2932
                                                IF brief(1 downto 0)="00" THEN
2933
                                                        next_micro_state <= st_AnXn2;
2934
                                                ELSE
2935
                                                        next_micro_state <= st_229_2;
2936
                                                END IF;
2937
                                        ELSE
2938
                                                IF brief(1 downto 0)="00" THEN
2939
                                                        setstate <= "11";
2940
                                                        next_micro_state <= nop;
2941
                                                ELSE
2942
                                                        set(hold_dwr) <= '1';
2943
                                                        setstate <= "10";
2944
                                                        set(longaktion) <= '1';
2945
                                                        next_micro_state <= st_229_3;
2946
                                                END IF;
2947
                                        END IF;
2948
 
2949
                                WHEN st_229_2 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2950
                                        setdisp <= '1';         -- add Index
2951
                                        set(hold_dwr) <= '1';
2952
                                        setstate <= "10";
2953
                                        set(longaktion) <= '1';
2954
                                        next_micro_state <= st_229_3;
2955
 
2956
                                WHEN st_229_3 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2957
                                        set(hold_dwr) <= '1';
2958
                                        set_suppress_base <= '1';
2959
                                        set(dispouter) <= '1';
2960
                                        IF brief(1)='0' THEN --NULL Outer Displacement
2961
                                                setstate <= "01";
2962
                                        ELSE  --WORD Outer Displacement
2963
                                                IF brief(0)='1' THEN
2964
                                                        set(longaktion) <= '1'; --LONG Outer Displacement
2965
                                                END IF;
2966
                                        END IF;
2967
                                        next_micro_state <= st_229_4;
2968
 
2969
                                WHEN st_229_4 =>                -- (bd,An,Xn)=>, --(bd,PC,Xn)=>
2970
                                        set(hold_dwr) <= '1';
2971
                                        IF brief(1)='1' THEN  -- Outer Displacement
2972
                                                setdisp <= '1';   --add last_data_read
2973
                                        END IF;
2974
                                        IF brief(6)='0' AND brief(2)='1' THEN --Postindex
2975
                                                set(briefext) <= '1';
2976
                                                setstate <= "01";
2977
                                                next_micro_state <= st_AnXn2;
2978
                                        ELSE
2979
                                                setstate <= "11";
2980
                                                next_micro_state <= nop;
2981
                                        END IF;
2982
 
2983
----------------------------------------------------------------------------------------                                
2984
                                WHEN bra1 =>            --bra
2985
                                        IF exe_condition='1' THEN
2986
                                                TG68_PC_brw <= '1';     --pc+0000
2987
                                                next_micro_state <= nop;
2988
                                                skipFetch <= '1';
2989
                                        END IF;
2990
 
2991
                                WHEN bsr1 =>            --bsr short
2992
                                        TG68_PC_brw <= '1';
2993
                                        next_micro_state <= nop;
2994
 
2995
                                WHEN bsr2 =>            --bsr
2996
                                        IF long_start='0' THEN
2997
                                                TG68_PC_brw <= '1';
2998
                                        END IF;
2999
                                        skipFetch <= '1';
3000
                                        set(longaktion) <= '1';
3001
                                        writePC <= '1';
3002
                                        setstate <= "11";
3003
                                        next_micro_state <= nopnop;
3004
                                        setstackaddr <='1';
3005
                                WHEN nopnop =>          --bsr
3006
                                        next_micro_state <= nop;
3007
 
3008
                                WHEN dbcc1 =>           --dbcc
3009
                                        IF exe_condition='0' THEN
3010
                                                Regwrena_now <= '1';
3011
                                                IF c_out(1)='1' THEN
3012
                                                        skipFetch <= '1';
3013
                                                        next_micro_state <= nop;
3014
                                                        TG68_PC_brw <= '1';
3015
                                                END IF;
3016
                                        END IF;
3017
 
3018
                                WHEN movem1 =>          --movem
3019
                                        IF last_data_read(15 downto 0)/=X"0000" THEN
3020
                                                setstate <="01";
3021
                                                IF opcode(5 downto 3)="100" THEN
3022
                                                        set(mem_addsub) <= '1';
3023
                                                END IF;
3024
                                                next_micro_state <= movem2;
3025
                                        END IF;
3026
                                WHEN movem2 =>          --movem
3027
                                        IF movem_run='0' THEN
3028
                                                setstate <="01";
3029
                                        ELSE
3030
                                                set(movem_action) <= '1';
3031
                                                set(mem_addsub) <= '1';
3032
                                                next_micro_state <= movem2;
3033
                                                IF opcode(10)='0' THEN
3034
                                                        setstate <="11";
3035
                                                        set(write_reg) <= '1';
3036
                                                ELSE
3037
                                                        setstate <="10";
3038
                                                END IF;
3039
                                        END IF;
3040
 
3041
                                WHEN andi =>            --andi
3042
                                        IF opcode(5 downto 4)/="00" THEN
3043
                                                setnextpass <= '1';
3044
                                        END IF;
3045
 
3046
                                WHEN pack1 =>           -- pack -(Ax),-(Ay)
3047 6 tobiflex
                                        IF opcode(2 downto 0)="111" THEN
3048
                                                set(use_SP) <= '1';
3049
                                        END IF;
3050 2 tobiflex
                                        set(hold_ea_data) <= '1';
3051
                                        set(update_ld) <= '1';
3052
                                        setstate <= "10";
3053
                                        set(presub) <= '1';
3054
                                        next_micro_state <= pack2;
3055
                                        dest_areg <= '1';
3056
                                WHEN pack2 =>
3057 6 tobiflex
                                        IF opcode(11 downto 9)="111" THEN
3058
                                                set(use_SP) <= '1';
3059
                                        END IF;
3060 2 tobiflex
                                        set(hold_ea_data) <= '1';
3061
                                        set_direct_data <= '1';
3062
                                        IF opcode(7 downto 6) = "01" THEN       --pack
3063
                                                datatype <= "00";               --Byte
3064
                                        ELSE                                                            --unpk
3065
                                                datatype <= "01";               --Word
3066
                                        END IF;
3067
                                        set(presub) <= '1';
3068
                                        dest_hbits <= '1';
3069
                                        dest_areg <= '1';
3070
                                        setstate <= "10";
3071
                                        next_micro_state <= pack3;
3072
                                WHEN pack3 =>
3073
                                        skipFetch <= '1';
3074
 
3075
                                WHEN op_AxAy =>         -- op -(Ax),-(Ay)
3076
                                        IF opcode(11 downto 9)="111" THEN
3077
                                                set(use_SP) <= '1';
3078
                                        END IF;
3079
                                        set_direct_data <= '1';
3080
                                        set(presub) <= '1';
3081
                                        dest_hbits <= '1';
3082
                                        dest_areg <= '1';
3083
                                        setstate <= "10";
3084
 
3085
                                WHEN cmpm =>            -- cmpm (Ay)+,(Ax)+
3086
                                        IF opcode(11 downto 9)="111" THEN
3087
                                                set(use_SP) <= '1';
3088
                                        END IF;
3089
                                        set_direct_data <= '1';
3090
                                        set(postadd) <= '1';
3091
                                        dest_hbits <= '1';
3092
                                        dest_areg <= '1';
3093
                                        setstate <= "10";
3094
 
3095
                                WHEN link1 =>           -- link
3096
                                        setstate <="11";
3097
                                        source_areg <= '1';
3098
                                        set(opcMOVE) <= '1';
3099
                                        set(Regwrena) <= '1';
3100
                                        next_micro_state <= link2;
3101
                                WHEN link2 =>           -- link
3102
                                        setstackaddr <='1';
3103
                                        set(ea_data_OP2) <= '1';
3104
 
3105
                                WHEN unlink1 =>         -- unlink
3106
                                        setstate <="10";
3107
                                        setstackaddr <='1';
3108
                                        set(postadd) <= '1';
3109
                                        next_micro_state <= unlink2;
3110
                                WHEN unlink2 =>         -- unlink
3111
                                        set(ea_data_OP2) <= '1';
3112
 
3113 8 tobiflex
-- paste and copy form TH       ---------       
3114
                                when trap00 =>          -- TRAP format #2
3115
                                        next_micro_state <= trap0;
3116
                                        set(presub) <= '1';
3117
                                        setstackaddr <='1';
3118
                                        setstate <= "11";
3119
                                        datatype <= "10";
3120
------------------------------------
3121 2 tobiflex
                                WHEN trap0 =>           -- TRAP
3122
                                        set(presub) <= '1';
3123
                                        setstackaddr <='1';
3124
                                        setstate <= "11";
3125
                                        IF VBR_Stackframe=1 OR (cpu(0)='1' AND VBR_Stackframe=2) THEN    --68010
3126
                                                set(writePC_add) <= '1';
3127
                                                datatype <= "01";
3128
--                                              set_datatype <= "10";
3129
                                                next_micro_state <= trap1;
3130
                                        ELSE
3131
                                                IF trap_interrupt='1' OR trap_trace='1' OR trap_berr='1' THEN
3132
                                                        writePC <= '1';
3133
                                                END IF;
3134
                                                datatype <= "10";
3135
                                                next_micro_state <= trap2;
3136 8 tobiflex
                                        END IF;
3137
 
3138 2 tobiflex
                                WHEN trap1 =>           -- TRAP
3139
                                        IF trap_interrupt='1' OR trap_trace='1' THEN
3140
                                                writePC <= '1';
3141
                                        END IF;
3142
                                        set(presub) <= '1';
3143
                                        setstackaddr <='1';
3144
                                        setstate <= "11";
3145
                                        datatype <= "10";
3146
                                        next_micro_state <= trap2;
3147
                                WHEN trap2 =>           -- TRAP
3148
                                        set(presub) <= '1';
3149
                                        setstackaddr <='1';
3150
                                        setstate <= "11";
3151
                                        datatype <= "01";
3152
                                        writeSR <= '1';
3153
                                        IF trap_berr='1' THEN
3154
                                                next_micro_state <= trap4;
3155
                                        ELSE
3156
                                                next_micro_state <= trap3;
3157
                                        END IF;
3158
                                WHEN trap3 =>           -- TRAP
3159
                                        set_vectoraddr <= '1';
3160
                                        datatype <= "10";
3161
                                        set(direct_delta) <= '1';
3162
                                        set(directPC) <= '1';
3163
                                        setstate <= "10";
3164
                                        next_micro_state <= nopnop;
3165
                                WHEN trap4 =>           -- TRAP
3166
                                        set(presub) <= '1';
3167
                                        setstackaddr <='1';
3168
                                        setstate <= "11";
3169
                                        datatype <= "01";
3170
                                        writeSR <= '1';
3171
                                        next_micro_state <= trap5;
3172
                                WHEN trap5 =>           -- TRAP
3173
                                        set(presub) <= '1';
3174
                                        setstackaddr <='1';
3175
                                        setstate <= "11";
3176
                                        datatype <= "10";
3177
                                        writeSR <= '1';
3178
                                        next_micro_state <= trap6;
3179
                                WHEN trap6 =>           -- TRAP
3180
                                        set(presub) <= '1';
3181
                                        setstackaddr <='1';
3182
                                        setstate <= "11";
3183
                                        datatype <= "01";
3184
                                        writeSR <= '1';
3185
                                        next_micro_state <= trap3;
3186
 
3187 9 tobiflex
                                                                                -- return from exception - RTE
3188
                                                                                -- fetch PC and status register from stack
3189
                                                                                -- 010+ fetches another word containing
3190
                                                                                -- the 12 bit vector offset and the
3191
                                                                                -- frame format. If the frame format is
3192
                                                                                -- 2 another two words have to be taken
3193
                                                                                -- from the stack
3194 2 tobiflex
                                WHEN rte1 =>            -- RTE
3195
                                        datatype <= "10";
3196
                                        setstate <= "10";
3197
                                        set(postadd) <= '1';
3198
                                        setstackaddr <= '1';
3199 4 tobiflex
                                        set(directPC) <= '1';
3200
                                        IF VBR_Stackframe=0 OR (cpu(0)='0' AND VBR_Stackframe=2) OR opcode(2)='1' THEN     --opcode(2)='1' => opcode is RTR
3201 2 tobiflex
                                                set(update_FC) <= '1';
3202
                                                set(direct_delta) <= '1';
3203
                                        END IF;
3204
                                        next_micro_state <= rte2;
3205
                                WHEN rte2 =>            -- RTE
3206
                                        datatype <= "01";
3207
                                        set(update_FC) <= '1';
3208 4 tobiflex
                                        IF (VBR_Stackframe=1 OR (cpu(0)='1' AND VBR_Stackframe=2)) AND opcode(2)='0' THEN
3209 9 tobiflex
                                                                                                -- 010+ reads another word
3210 2 tobiflex
                                                setstate <= "10";
3211
                                                set(postadd) <= '1';
3212
                                                setstackaddr <= '1';
3213
                                                next_micro_state <= rte3;
3214
                                        ELSE
3215
                                                next_micro_state <= nop;
3216
                                        END IF;
3217 9 tobiflex
--                              WHEN rte3 =>                    -- RTE
3218
--                                      next_micro_state <= nop;
3219
----                                    set(update_FC) <= '1';
3220
-- paste and copy form TH       ---------       
3221
                                when rte3 => -- RTE
3222
                                        setstate <= "01"; -- idle state to wait
3223
                                                                                        -- for input data to
3224
                                                                                        -- arrive
3225
                                        next_micro_state <= rte4;
3226
                                WHEN rte4 =>         -- RTE
3227
                                                                                        -- check for stack frame format #2
3228
                                        if last_data_in(15 downto 12)="0010" then
3229
                                                                                  -- read another 32 bits in this case
3230
                                                setstate <= "10"; -- read
3231
                                                datatype <= "10"; -- long word
3232
                                                set(postadd) <= '1';
3233
                                                setstackaddr <= '1';
3234
                                                next_micro_state <= rte5;
3235
                                        else
3236
                                                datatype <= "01";
3237
                                                next_micro_state <= nop;
3238
                                        end if;
3239
                                WHEN rte5 =>            -- RTE
3240
                                        next_micro_state <= nop;
3241
-------------------------------------
3242 2 tobiflex
 
3243
                                WHEN rtd1 =>            -- RTD
3244
                                        next_micro_state <= rtd2;
3245
                                WHEN rtd2 =>            -- RTD
3246
                                        setstackaddr <= '1';
3247
                                        set(Regwrena) <= '1';
3248
 
3249
                                WHEN movec1 =>          -- MOVEC
3250
                                        set(briefext) <= '1';
3251
                                        set_writePCbig <='1';
3252
                                        IF (brief(11 downto 0)=X"000" OR brief(11 downto 0)=X"001" OR brief(11 downto 0)=X"800" OR brief(11 downto 0)=X"801") OR
3253
                                           (cpu(1)='1' AND (brief(11 downto 0)=X"002" OR brief(11 downto 0)=X"802" OR brief(11 downto 0)=X"803" OR brief(11 downto 0)=X"804")) THEN
3254
                                                IF opcode(0)='0' THEN
3255
                                                        set(Regwrena) <= '1';
3256
                                                END IF;
3257
--                                      ELSIF brief(11 downto 0)=X"800"OR brief(11 downto 0)=X"001" OR brief(11 downto 0)=X"000" THEN
3258
--                                              trap_addr_error <= '1';
3259
--                                              trapmake <= '1';
3260
                                        ELSE
3261
                                                trap_illegal <= '1';
3262
                                                trapmake <= '1';
3263
                                        END IF;
3264
 
3265
                                WHEN movep1 =>          -- MOVEP d(An)
3266
                                        setdisp <= '1';
3267
                                        set(mem_addsub) <= '1';
3268
                                        set(mem_byte) <= '1';
3269
                                        set(OP1addr) <= '1';
3270
                                        IF opcode(6)='1' THEN
3271
                                                set(movepl) <= '1';
3272
                                        END IF;
3273
                                        IF opcode(7)='0' THEN
3274
                                                setstate <= "10";
3275
                                        ELSE
3276
                                                setstate <= "11";
3277
                                        END IF;
3278
                                        next_micro_state <= movep2;
3279
                                WHEN movep2 =>
3280
                                        IF opcode(6)='1' THEN
3281
                                                set(mem_addsub) <= '1';
3282
                                            set(OP1addr) <= '1';
3283
                                        END IF;
3284
                                        IF opcode(7)='0' THEN
3285
                                                setstate <= "10";
3286
                                        ELSE
3287
                                                setstate <= "11";
3288
                                        END IF;
3289
                                        next_micro_state <= movep3;
3290
                                WHEN movep3 =>
3291
                                        IF opcode(6)='1' THEN
3292
                                                set(mem_addsub) <= '1';
3293
                                            set(OP1addr) <= '1';
3294
                                                set(mem_byte) <= '1';
3295
                                                IF opcode(7)='0' THEN
3296
                                                        setstate <= "10";
3297
                                                ELSE
3298
                                                        setstate <= "11";
3299
                                                END IF;
3300
                                                next_micro_state <= movep4;
3301
                                        ELSE
3302
                                                datatype <= "01";               --Word
3303
                                        END IF;
3304
                                WHEN movep4 =>
3305
                                        IF opcode(7)='0' THEN
3306
                                                setstate <= "10";
3307
                                        ELSE
3308
                                                setstate <= "11";
3309
                                        END IF;
3310
                                        next_micro_state <= movep5;
3311
                                WHEN movep5 =>
3312
                                        datatype <= "10";               --Long
3313
 
3314
                                WHEN mul1       =>              -- mulu
3315
                                        IF opcode(15)='1' OR MUL_Mode=0 THEN
3316
                                                set_rot_cnt <= "001110";
3317
                                        ELSE
3318
                                                set_rot_cnt <= "011110";
3319
                                        END IF;
3320
                                        setstate <="01";
3321
                                        next_micro_state <= mul2;
3322
                                WHEN mul2       =>              -- mulu
3323 8 tobiflex
                                        setstate <="01";
3324 2 tobiflex
                                        IF rot_cnt="00001" THEN
3325 8 tobiflex
                                                next_micro_state <= mul_end1;
3326
 
3327 2 tobiflex
                                        ELSE
3328
                                                next_micro_state <= mul2;
3329
                                        END IF;
3330
                                WHEN mul_end1   =>              -- mulu
3331 8 tobiflex
                                        IF opcode(15)='0' THEN
3332 9 tobiflex
                                                set(hold_OP2) <= '1';
3333 8 tobiflex
                                        END IF;
3334 2 tobiflex
                                        datatype <= "10";
3335
                                        set(opcMULU) <= '1';
3336
                                        IF opcode(15)='0' AND (MUL_Mode=1 OR MUL_Mode=2) THEN
3337
                                                dest_2ndHbits <= '1';
3338
                                                set(write_lowlong) <= '1';
3339
                                                IF sndOPC(10)='1' THEN
3340
                                                        setstate <="01";
3341
                                                        next_micro_state <= mul_end2;
3342
                                                END IF;
3343
                                                set(Regwrena) <= '1';
3344
                                        END IF;
3345
                                        datatype <= "10";
3346
                                WHEN mul_end2   =>              -- divu
3347
                                        set(write_reminder) <= '1';
3348
                                        set(Regwrena) <= '1';
3349
                                        set(opcMULU) <= '1';
3350
 
3351
                                WHEN div1       =>              -- divu
3352
                                        setstate <="01";
3353
                                        next_micro_state <= div2;
3354
                                WHEN div2       =>              -- divu
3355
                                        IF (OP2out(31 downto 16)=x"0000" OR opcode(15)='1' OR DIV_Mode=0) AND OP2out(15 downto 0)=x"0000" THEN            --div zero
3356
                                                set_Z_error <= '1';
3357
                                        ELSE
3358
                                                next_micro_state <= div3;
3359
                                        END IF;
3360
                                        set(ld_rot_cnt) <= '1';
3361
                                        setstate <="01";
3362
                                WHEN div3       =>              -- divu
3363
                                        IF opcode(15)='1' OR DIV_Mode=0 THEN
3364
                                                set_rot_cnt <= "001101";
3365
                                        ELSE
3366
                                                set_rot_cnt <= "011101";
3367
                                        END IF;
3368
                                        setstate <="01";
3369
                                        next_micro_state <= div4;
3370
                                WHEN div4       =>              -- divu
3371
                                        setstate <="01";
3372
                                        IF rot_cnt="00001" THEN
3373
                                                next_micro_state <= div_end1;
3374
                                        ELSE
3375
                                                next_micro_state <= div4;
3376
                                        END IF;
3377
                                WHEN div_end1   =>              -- divu
3378
                                        IF opcode(15)='0' AND (DIV_Mode=1 OR DIV_Mode=2) THEN
3379
                                                set(write_reminder) <= '1';
3380
                                                next_micro_state <= div_end2;
3381
                                                setstate <="01";
3382
                                        END IF;
3383
                                        set(opcDIVU) <= '1';
3384
                                        datatype <= "10";
3385
                                WHEN div_end2   =>              -- divu
3386
                                        dest_2ndHbits <= '1';
3387
                                        source_2ndLbits <= '1';--???
3388
                                        set(opcDIVU) <= '1';
3389
 
3390
                                WHEN rota1      =>
3391
                                        IF OP2out(5 downto 0)/="000000" THEN
3392
                                                set_rot_cnt <= OP2out(5 downto 0);
3393
                                        ELSE
3394
                                                set_exec(rot_nop) <= '1';
3395
                                        END IF;
3396
 
3397
                                WHEN bf1 =>
3398
                                        setstate <="10";
3399
 
3400
                                WHEN OTHERS => NULL;
3401
                        END CASE;
3402
        END PROCESS;
3403
 
3404
-----------------------------------------------------------------------------
3405
-- MOVEC
3406
-----------------------------------------------------------------------------
3407
  process (clk, VBR, CACR, brief)
3408
  begin
3409
        -- all other hexa codes should give illegal isntruction exception
3410
        if rising_edge(clk) then
3411
          if Reset = '1' then
3412
                VBR <= (others => '0');
3413
                CACR <= (others => '0');
3414
          elsif clkena_lw = '1' and exec(movec_wr) = '1' then
3415
                case brief(11 downto 0) is
3416
                  when X"000" => NULL; -- SFC -- 68010+
3417
                  when X"001" => NULL; -- DFC -- 68010+
3418
                  when X"002" => CACR <= reg_QA(3 downto 0); -- 68020+
3419
                  when X"800" => NULL; -- USP -- 68010+
3420
                  when X"801" => VBR <= reg_QA; -- 68010+
3421
                  when X"802" => NULL; -- CAAR -- 68020+
3422
                  when X"803" => NULL; -- MSP -- 68020+
3423
                  when X"804" => NULL; -- isP -- 68020+
3424
                  when others => NULL;
3425
                end case;
3426
          end if;
3427
        end if;
3428
 
3429
        movec_data <= (others => '0');
3430
        case brief(11 downto 0) is
3431
          when X"002" => movec_data <= "0000000000000000000000000000" & (CACR AND "0011");
3432
 
3433
          when X"801" => --if VBR_Stackframe=1 or (cpu(0)='1' and VBR_Stackframe=2) then
3434
                movec_data <= VBR;
3435
                --end if;
3436
          when others => NULL;
3437
        end case;
3438
  end process;
3439
 
3440
  CACR_out <= CACR;
3441
  VBR_out <= VBR;
3442
-----------------------------------------------------------------------------
3443
-- Conditions
3444
-----------------------------------------------------------------------------
3445
PROCESS (exe_opcode, Flags)
3446
        BEGIN
3447
                CASE exe_opcode(11 downto 8) IS
3448
                        WHEN X"0" => exe_condition <= '1';
3449
                        WHEN X"1" => exe_condition <= '0';
3450
                        WHEN X"2" => exe_condition <=  NOT Flags(0) AND NOT Flags(2);
3451
                        WHEN X"3" => exe_condition <= Flags(0) OR Flags(2);
3452
                        WHEN X"4" => exe_condition <= NOT Flags(0);
3453
                        WHEN X"5" => exe_condition <= Flags(0);
3454
                        WHEN X"6" => exe_condition <= NOT Flags(2);
3455
                        WHEN X"7" => exe_condition <= Flags(2);
3456
                        WHEN X"8" => exe_condition <= NOT Flags(1);
3457
                        WHEN X"9" => exe_condition <= Flags(1);
3458
                        WHEN X"a" => exe_condition <= NOT Flags(3);
3459
                        WHEN X"b" => exe_condition <= Flags(3);
3460
                        WHEN X"c" => exe_condition <= (Flags(3) AND Flags(1)) OR (NOT Flags(3) AND NOT Flags(1));
3461
                        WHEN X"d" => exe_condition <= (Flags(3) AND NOT Flags(1)) OR (NOT Flags(3) AND Flags(1));
3462
                        WHEN X"e" => exe_condition <= (Flags(3) AND Flags(1) AND NOT Flags(2)) OR (NOT Flags(3) AND NOT Flags(1) AND NOT Flags(2));
3463
                        WHEN X"f" => exe_condition <= (Flags(3) AND NOT Flags(1)) OR (NOT Flags(3) AND Flags(1)) OR Flags(2);
3464
                        WHEN OTHERS => NULL;
3465
                END CASE;
3466
        END PROCESS;
3467
 
3468
-----------------------------------------------------------------------------
3469
-- Movem
3470
-----------------------------------------------------------------------------
3471
PROCESS (clk)
3472
        BEGIN
3473
                IF rising_edge(clk) THEN
3474
                        IF clkena_lw='1' THEN
3475
                                movem_actiond <= exec(movem_action);
3476
                                IF decodeOPC='1' THEN
3477
                                        sndOPC <= data_read(15 downto 0);
3478
                                ELSIF exec(movem_action)='1' OR set(movem_action) ='1' THEN
3479
                                        CASE movem_regaddr IS
3480
                                                WHEN "0000" => sndOPC(0)  <= '0';
3481
                                                WHEN "0001" => sndOPC(1)  <= '0';
3482
                                                WHEN "0010" => sndOPC(2)  <= '0';
3483
                                                WHEN "0011" => sndOPC(3)  <= '0';
3484
                                                WHEN "0100" => sndOPC(4)  <= '0';
3485
                                                WHEN "0101" => sndOPC(5)  <= '0';
3486
                                                WHEN "0110" => sndOPC(6)  <= '0';
3487
                                                WHEN "0111" => sndOPC(7)  <= '0';
3488
                                                WHEN "1000" => sndOPC(8)  <= '0';
3489
                                                WHEN "1001" => sndOPC(9)  <= '0';
3490
                                                WHEN "1010" => sndOPC(10) <= '0';
3491
                                                WHEN "1011" => sndOPC(11) <= '0';
3492
                                                WHEN "1100" => sndOPC(12) <= '0';
3493
                                                WHEN "1101" => sndOPC(13) <= '0';
3494
                                                WHEN "1110" => sndOPC(14) <= '0';
3495
                                                WHEN "1111" => sndOPC(15) <= '0';
3496
                                                WHEN OTHERS => NULL;
3497
                                        END CASE;
3498
                                END IF;
3499
                        END IF;
3500
                END IF;
3501
        END PROCESS;
3502
 
3503
PROCESS (sndOPC, movem_mux)
3504
        BEGIN
3505
                movem_regaddr <="0000";
3506
                movem_run <= '1';
3507
                IF sndOPC(3 downto 0)="0000" THEN
3508
                        IF sndOPC(7 downto 4)="0000" THEN
3509
                                movem_regaddr(3) <= '1';
3510
                                IF sndOPC(11 downto 8)="0000" THEN
3511
                                        IF sndOPC(15 downto 12)="0000" THEN
3512
                                                movem_run <= '0';
3513
                                        END IF;
3514
                                        movem_regaddr(2) <= '1';
3515
                                        movem_mux <= sndOPC(15 downto 12);
3516
                                ELSE
3517
                                        movem_mux <= sndOPC(11 downto 8);
3518
                                END IF;
3519
                        ELSE
3520
                                movem_mux <= sndOPC(7 downto 4);
3521
                                movem_regaddr(2) <= '1';
3522
                        END IF;
3523
                ELSE
3524
                        movem_mux <= sndOPC(3 downto 0);
3525
                END IF;
3526
                IF movem_mux(1 downto 0)="00" THEN
3527
                        movem_regaddr(1) <= '1';
3528
                        IF movem_mux(2)='0' THEN
3529
                                movem_regaddr(0) <= '1';
3530
                        END IF;
3531
                ELSE
3532
                        IF movem_mux(0)='0' THEN
3533
                                movem_regaddr(0) <= '1';
3534
                        END IF;
3535
                END  IF;
3536
        END PROCESS;
3537
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.