OpenCores
URL https://opencores.org/ocsvn/the_wizardry_project/the_wizardry_project/trunk

Subversion Repositories the_wizardry_project

[/] [the_wizardry_project/] [trunk/] [Wizardry/] [VHDL/] [Wizardry Top Level/] [Address Generation/] [JOP/] [rom.vhd] - Blame information for rev 22

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 22 mcwaccent
--
2
--      rom.vhd
3
--
4
--      generic VHDL version of ROM
5
--
6
--              DONT edit this file!
7
--              generated by Jopa.java
8
--
9
 
10
library ieee;
11
use ieee.std_logic_1164.all;
12
use ieee.std_logic_arith.all;
13
use ieee.std_logic_unsigned.all;
14
 
15
entity rom is
16
generic (width : integer; addr_width : integer);        -- for compatibility
17
port (
18
        clk                     : in std_logic;
19
        address         : in std_logic_vector(10 downto 0);
20
        q                       : out std_logic_vector(9 downto 0)
21
);
22
end rom;
23
 
24
architecture rtl of rom is
25
 
26
        signal areg             : std_logic_vector(10 downto 0);
27
        signal data             : std_logic_vector(9 downto 0);
28
 
29
begin
30
 
31
process(clk) begin
32
 
33
        if rising_edge(clk) then
34
                areg <= address;
35
        end if;
36
 
37
end process;
38
 
39
        q <= data;
40
 
41
process(areg) begin
42
 
43
        case areg is
44
 
45
                when "00000000000" => data <= "0010000000";     -- TODO: comment
46
                when "00000000001" => data <= "0010000000";     -- TODO: comment
47
                when "00000000010" => data <= "0011000000";     -- TODO: comment
48
                when "00000000011" => data <= "0010000000";     -- TODO: comment
49
                when "00000000100" => data <= "0000011011";     -- TODO: comment
50
                when "00000000101" => data <= "0011000001";     -- TODO: comment
51
                when "00000000110" => data <= "0000001000";     -- TODO: comment
52
                when "00000000111" => data <= "0010000001";     -- TODO: comment
53
                when "00000001000" => data <= "0010000001";     -- TODO: comment
54
                when "00000001001" => data <= "0011100000";     -- TODO: comment
55
                when "00000001010" => data <= "0010000000";     -- TODO: comment
56
                when "00000001011" => data <= "0001000000";     -- TODO: comment
57
                when "00000001100" => data <= "0010000000";     -- TODO: comment
58
                when "00000001101" => data <= "0010000000";     -- TODO: comment
59
                when "00000001110" => data <= "0011000010";     -- TODO: comment
60
                when "00000001111" => data <= "0000001000";     -- TODO: comment
61
                when "00000010000" => data <= "0010000001";     -- TODO: comment
62
                when "00000010001" => data <= "0010000001";     -- TODO: comment
63
                when "00000010010" => data <= "0011100000";     -- TODO: comment
64
                when "00000010011" => data <= "0010000000";     -- TODO: comment
65
                when "00000010100" => data <= "0001000001";     -- TODO: comment
66
                when "00000010101" => data <= "0010000000";     -- TODO: comment
67
                when "00000010110" => data <= "0010000000";     -- TODO: comment
68
                when "00000010111" => data <= "0011000010";     -- TODO: comment
69
                when "00000011000" => data <= "0000001000";     -- TODO: comment
70
                when "00000011001" => data <= "0010000001";     -- TODO: comment
71
                when "00000011010" => data <= "0010000001";     -- TODO: comment
72
                when "00000011011" => data <= "0011100000";     -- TODO: comment
73
                when "00000011100" => data <= "0010000000";     -- TODO: comment
74
                when "00000011101" => data <= "0001100010";     -- TODO: comment
75
                when "00000011110" => data <= "0010000000";     -- TODO: comment
76
                when "00000011111" => data <= "0010000000";     -- TODO: comment
77
                when "00000100000" => data <= "0011000011";     -- TODO: comment
78
                when "00000100001" => data <= "0000100010";     -- TODO: comment
79
                when "00000100010" => data <= "0011000100";     -- TODO: comment
80
                when "00000100011" => data <= "0011000101";     -- TODO: comment
81
                when "00000100100" => data <= "0000001000";     -- TODO: comment
82
                when "00000100101" => data <= "0011000110";     -- TODO: comment
83
                when "00000100110" => data <= "0010000001";     -- TODO: comment
84
                when "00000100111" => data <= "0010000001";     -- TODO: comment
85
                when "00000101000" => data <= "0011100000";     -- TODO: comment
86
                when "00000101001" => data <= "0000000001";     -- TODO: comment
87
                when "00000101010" => data <= "0010000000";     -- TODO: comment
88
                when "00000101011" => data <= "0001000011";     -- TODO: comment
89
                when "00000101100" => data <= "0010000000";     -- TODO: comment
90
                when "00000101101" => data <= "0010000000";     -- TODO: comment
91
                when "00000101110" => data <= "0011000111";     -- TODO: comment
92
                when "00000101111" => data <= "0000001000";     -- TODO: comment
93
                when "00000110000" => data <= "0010000001";     -- TODO: comment
94
                when "00000110001" => data <= "0010000001";     -- TODO: comment
95
                when "00000110010" => data <= "0011100000";     -- TODO: comment
96
                when "00000110011" => data <= "0011000111";     -- TODO: comment
97
                when "00000110100" => data <= "0000000111";     -- TODO: comment
98
                when "00000110101" => data <= "0011111000";     -- TODO: comment
99
                when "00000110110" => data <= "0000001001";     -- TODO: comment
100
                when "00000110111" => data <= "0010000001";     -- TODO: comment
101
                when "00000111000" => data <= "0010000001";     -- TODO: comment
102
                when "00000111001" => data <= "0010101000";     -- TODO: comment
103
                when "00000111010" => data <= "0011001000";     -- TODO: comment
104
                when "00000111011" => data <= "0000011101";     -- TODO: comment
105
                when "00000111100" => data <= "0000000010";     -- TODO: comment
106
                when "00000111101" => data <= "0000101000";     -- TODO: comment
107
                when "00000111110" => data <= "0011001001";     -- TODO: comment
108
                when "00000111111" => data <= "0000000101";     -- TODO: comment
109
                when "00001000000" => data <= "0011111000";     -- TODO: comment
110
                when "00001000001" => data <= "0010000000";     -- TODO: comment
111
                when "00001000010" => data <= "0001100100";     -- TODO: comment
112
                when "00001000011" => data <= "0010000000";     -- TODO: comment
113
                when "00001000100" => data <= "0010000000";     -- TODO: comment
114
                when "00001000101" => data <= "0000000000";     -- TODO: comment
115
                when "00001000110" => data <= "0010100010";     -- TODO: comment
116
                when "00001000111" => data <= "0000000111";     -- TODO: comment
117
                when "00001001000" => data <= "0010101000";     -- TODO: comment
118
                when "00001001001" => data <= "0000001001";     -- TODO: comment
119
                when "00001001010" => data <= "0010000001";     -- TODO: comment
120
                when "00001001011" => data <= "0010000001";     -- TODO: comment
121
                when "00001001100" => data <= "0010100010";     -- TODO: comment
122
                when "00001001101" => data <= "0010000000";     -- TODO: comment
123
                when "00001001110" => data <= "0001100101";     -- TODO: comment
124
                when "00001001111" => data <= "0010000000";     -- TODO: comment
125
                when "00001010000" => data <= "0010000000";     -- TODO: comment
126
                when "00001010001" => data <= "0010101000";     -- TODO: comment
127
                when "00001010010" => data <= "0000100110";     -- TODO: comment
128
                when "00001010011" => data <= "0010100010";     -- TODO: comment
129
                when "00001010100" => data <= "0011001001";     -- TODO: comment
130
                when "00001010101" => data <= "0000000100";     -- TODO: comment
131
                when "00001010110" => data <= "0000100010";     -- TODO: comment
132
                when "00001010111" => data <= "0010100010";     -- TODO: comment
133
                when "00001011000" => data <= "0010100110";     -- TODO: comment
134
                when "00001011001" => data <= "0000000011";     -- TODO: comment
135
                when "00001011010" => data <= "0010000000";     -- TODO: comment
136
                when "00001011011" => data <= "0001100110";     -- TODO: comment
137
                when "00001011100" => data <= "0010000000";     -- TODO: comment
138
                when "00001011101" => data <= "0010000000";     -- TODO: comment
139
                when "00001011110" => data <= "0011001001";     -- TODO: comment
140
                when "00001011111" => data <= "0000001000";     -- TODO: comment
141
                when "00001100000" => data <= "0010000001";     -- TODO: comment
142
                when "00001100001" => data <= "0010000001";     -- TODO: comment
143
                when "00001100010" => data <= "0011100000";     -- TODO: comment
144
                when "00001100011" => data <= "0000100000";     -- TODO: comment
145
                when "00001100100" => data <= "0010100000";     -- TODO: comment
146
                when "00001100101" => data <= "0011001001";     -- TODO: comment
147
                when "00001100110" => data <= "0000000100";     -- TODO: comment
148
                when "00001100111" => data <= "0011111000";     -- TODO: comment
149
                when "00001101000" => data <= "0000001000";     -- TODO: comment
150
                when "00001101001" => data <= "0010000001";     -- TODO: comment
151
                when "00001101010" => data <= "0010000001";     -- TODO: comment
152
                when "00001101011" => data <= "0011100000";     -- TODO: comment
153
                when "00001101100" => data <= "0000100011";     -- TODO: comment
154
                when "00001101101" => data <= "0011001001";     -- TODO: comment
155
                when "00001101110" => data <= "0000000100";     -- TODO: comment
156
                when "00001101111" => data <= "0000001000";     -- TODO: comment
157
                when "00001110000" => data <= "0010000001";     -- TODO: comment
158
                when "00001110001" => data <= "0010000001";     -- TODO: comment
159
                when "00001110010" => data <= "0011100000";     -- TODO: comment
160
                when "00001110011" => data <= "0000100100";     -- TODO: comment
161
                when "00001110100" => data <= "0010100000";     -- TODO: comment
162
                when "00001110101" => data <= "0011001001";     -- TODO: comment
163
                when "00001110110" => data <= "0010000000";     -- TODO: comment
164
                when "00001110111" => data <= "0001100111";     -- TODO: comment
165
                when "00001111000" => data <= "0010000000";     -- TODO: comment
166
                when "00001111001" => data <= "0010000000";     -- TODO: comment
167
                when "00001111010" => data <= "1010000000";     -- TODO: comment
168
                when "00001111011" => data <= "1011001010";     -- TODO: comment
169
                when "00001111100" => data <= "1011000011";     -- TODO: comment
170
                when "00001111101" => data <= "1011001001";     -- TODO: comment
171
                when "00001111110" => data <= "1011000110";     -- TODO: comment
172
                when "00001111111" => data <= "1011001011";     -- TODO: comment
173
                when "00010000000" => data <= "1011000100";     -- TODO: comment
174
                when "00010000001" => data <= "1011001100";     -- TODO: comment
175
                when "00010000010" => data <= "0110000000";     -- TODO: comment
176
                when "00010000011" => data <= "1011110101";     -- TODO: comment
177
                when "00010000100" => data <= "0110000000";     -- TODO: comment
178
                when "00010000101" => data <= "0110000000";     -- TODO: comment
179
                when "00010000110" => data <= "1011110111";     -- TODO: comment
180
                when "00010000111" => data <= "0110100001";     -- TODO: comment
181
                when "00010001000" => data <= "0011110100";     -- TODO: comment
182
                when "00010001001" => data <= "0000000100";     -- TODO: comment
183
                when "00010001010" => data <= "0000001000";     -- TODO: comment
184
                when "00010001011" => data <= "0010000001";     -- TODO: comment
185
                when "00010001100" => data <= "0010000001";     -- TODO: comment
186
                when "00010001101" => data <= "1011100000";     -- TODO: comment
187
                when "00010001110" => data <= "0110100001";     -- TODO: comment
188
                when "00010001111" => data <= "0110000000";     -- TODO: comment
189
                when "00010010000" => data <= "0011110110";     -- TODO: comment
190
                when "00010010001" => data <= "0000000100";     -- TODO: comment
191
                when "00010010010" => data <= "0000001000";     -- TODO: comment
192
                when "00010010011" => data <= "0010000001";     -- TODO: comment
193
                when "00010010100" => data <= "0010000001";     -- TODO: comment
194
                when "00010010101" => data <= "1011100000";     -- TODO: comment
195
                when "00010010110" => data <= "0110000000";     -- TODO: comment
196
                when "00010010111" => data <= "1011101100";     -- TODO: comment
197
                when "00010011000" => data <= "1011101000";     -- TODO: comment
198
                when "00010011001" => data <= "1011101001";     -- TODO: comment
199
                when "00010011010" => data <= "1011101010";     -- TODO: comment
200
                when "00010011011" => data <= "1011101011";     -- TODO: comment
201
                when "00010011100" => data <= "0110000000";     -- TODO: comment
202
                when "00010011101" => data <= "1000010100";     -- TODO: comment
203
                when "00010011110" => data <= "1000010000";     -- TODO: comment
204
                when "00010011111" => data <= "1000010001";     -- TODO: comment
205
                when "00010100000" => data <= "1000010010";     -- TODO: comment
206
                when "00010100001" => data <= "1000010011";     -- TODO: comment
207
                when "00010100010" => data <= "1000000000";     -- TODO: comment
208
                when "00010100011" => data <= "0000000000";     -- TODO: comment
209
                when "00010100100" => data <= "1000000000";     -- TODO: comment
210
                when "00010100101" => data <= "1011111000";     -- TODO: comment
211
                when "00010100110" => data <= "0000100110";     -- TODO: comment
212
                when "00010100111" => data <= "0000100111";     -- TODO: comment
213
                when "00010101000" => data <= "0010100110";     -- TODO: comment
214
                when "00010101001" => data <= "0010100111";     -- TODO: comment
215
                when "00010101010" => data <= "1010100110";     -- TODO: comment
216
                when "00010101011" => data <= "0000100110";     -- TODO: comment
217
                when "00010101100" => data <= "0000100111";     -- TODO: comment
218
                when "00010101101" => data <= "0000101000";     -- TODO: comment
219
                when "00010101110" => data <= "0010100110";     -- TODO: comment
220
                when "00010101111" => data <= "0010101000";     -- TODO: comment
221
                when "00010110000" => data <= "0010100111";     -- TODO: comment
222
                when "00010110001" => data <= "1010100110";     -- TODO: comment
223
                when "00010110010" => data <= "0000100110";     -- TODO: comment
224
                when "00010110011" => data <= "0000100111";     -- TODO: comment
225
                when "00010110100" => data <= "0010100111";     -- TODO: comment
226
                when "00010110101" => data <= "0010100110";     -- TODO: comment
227
                when "00010110110" => data <= "0010100111";     -- TODO: comment
228
                when "00010110111" => data <= "1010100110";     -- TODO: comment
229
                when "00010111000" => data <= "0000100110";     -- TODO: comment
230
                when "00010111001" => data <= "0000100111";     -- TODO: comment
231
                when "00010111010" => data <= "0000101000";     -- TODO: comment
232
                when "00010111011" => data <= "0010100111";     -- TODO: comment
233
                when "00010111100" => data <= "0010100110";     -- TODO: comment
234
                when "00010111101" => data <= "0010101000";     -- TODO: comment
235
                when "00010111110" => data <= "0010100111";     -- TODO: comment
236
                when "00010111111" => data <= "1010100110";     -- TODO: comment
237
                when "00011000000" => data <= "0000100110";     -- TODO: comment
238
                when "00011000001" => data <= "0000100111";     -- TODO: comment
239
                when "00011000010" => data <= "0000101000";     -- TODO: comment
240
                when "00011000011" => data <= "0000101001";     -- TODO: comment
241
                when "00011000100" => data <= "0010100111";     -- TODO: comment
242
                when "00011000101" => data <= "0010100110";     -- TODO: comment
243
                when "00011000110" => data <= "0010101001";     -- TODO: comment
244
                when "00011000111" => data <= "0010101000";     -- TODO: comment
245
                when "00011001000" => data <= "0010100111";     -- TODO: comment
246
                when "00011001001" => data <= "1010100110";     -- TODO: comment
247
                when "00011001010" => data <= "0000100110";     -- TODO: comment
248
                when "00011001011" => data <= "0000100111";     -- TODO: comment
249
                when "00011001100" => data <= "0010100110";     -- TODO: comment
250
                when "00011001101" => data <= "1010100111";     -- TODO: comment
251
                when "00011001110" => data <= "1000000100";     -- TODO: comment
252
                when "00011001111" => data <= "1000000101";     -- TODO: comment
253
                when "00011010000" => data <= "0011001010";     -- TODO: comment
254
                when "00011010001" => data <= "0000000011";     -- TODO: comment
255
                when "00011010010" => data <= "0011001001";     -- TODO: comment
256
                when "00011010011" => data <= "1000000100";     -- TODO: comment
257
                when "00011010100" => data <= "1000000001";     -- TODO: comment
258
                when "00011010101" => data <= "1000000010";     -- TODO: comment
259
                when "00011010110" => data <= "1000000011";     -- TODO: comment
260
                when "00011010111" => data <= "1000011101";     -- TODO: comment
261
                when "00011011000" => data <= "1000011110";     -- TODO: comment
262
                when "00011011001" => data <= "1000011100";     -- TODO: comment
263
                when "00011011010" => data <= "0000000110";     -- TODO: comment
264
                when "00011011011" => data <= "0000000000";     -- TODO: comment
265
                when "00011011100" => data <= "0011000110";     -- TODO: comment
266
                when "00011011101" => data <= "0011001010";     -- TODO: comment
267
                when "00011011110" => data <= "0000000100";     -- TODO: comment
268
                when "00011011111" => data <= "0011111000";     -- TODO: comment
269
                when "00011100000" => data <= "0010000000";     -- TODO: comment
270
                when "00011100001" => data <= "0001101000";     -- TODO: comment
271
                when "00011100010" => data <= "0010000000";     -- TODO: comment
272
                when "00011100011" => data <= "0010000000";     -- TODO: comment
273
                when "00011100100" => data <= "0000000000";     -- TODO: comment
274
                when "00011100101" => data <= "1011100110";     -- TODO: comment
275
                when "00011100110" => data <= "0111110001";     -- TODO: comment
276
                when "00011100111" => data <= "0011110100";     -- TODO: comment
277
                when "00011101000" => data <= "0000000100";     -- TODO: comment
278
                when "00011101001" => data <= "0100011010";     -- TODO: comment
279
                when "00011101010" => data <= "0011110101";     -- TODO: comment
280
                when "00011101011" => data <= "0011101101";     -- TODO: comment
281
                when "00011101100" => data <= "0000000100";     -- TODO: comment
282
                when "00011101101" => data <= "1000010101";     -- TODO: comment
283
                when "00011101110" => data <= "0011001101";     -- TODO: comment
284
                when "00011101111" => data <= "1000000001";     -- TODO: comment
285
                when "00011110000" => data <= "0110000000";     -- TODO: comment
286
                when "00011110001" => data <= "0110000010";     -- TODO: comment
287
                when "00011110010" => data <= "0000000000";     -- TODO: comment
288
                when "00011110011" => data <= "1010000000";     -- TODO: comment
289
                when "00011110100" => data <= "0110000000";     -- TODO: comment
290
                when "00011110101" => data <= "0110000010";     -- TODO: comment
291
                when "00011110110" => data <= "0000000000";     -- TODO: comment
292
                when "00011110111" => data <= "1000000000";     -- TODO: comment
293
                when "00011111000" => data <= "0110000000";     -- TODO: comment
294
                when "00011111001" => data <= "0110000010";     -- TODO: comment
295
                when "00011111010" => data <= "0010000000";     -- TODO: comment
296
                when "00011111011" => data <= "1010000000";     -- TODO: comment
297
                when "00011111100" => data <= "0110000000";     -- TODO: comment
298
                when "00011111101" => data <= "0110000000";     -- TODO: comment
299
                when "00011111110" => data <= "0011110110";     -- TODO: comment
300
                when "00011111111" => data <= "0000001000";     -- TODO: comment
301
                when "00100000000" => data <= "0010000001";     -- TODO: comment
302
                when "00100000001" => data <= "0010000001";     -- TODO: comment
303
                when "00100000010" => data <= "1011100000";     -- TODO: comment
304
                when "00100000011" => data <= "0110000000";     -- TODO: comment
305
                when "00100000100" => data <= "0110000000";     -- TODO: comment
306
                when "00100000101" => data <= "0011110110";     -- TODO: comment
307
                when "00100000110" => data <= "0000000111";     -- TODO: comment
308
                when "00100000111" => data <= "0000001001";     -- TODO: comment
309
                when "00100001000" => data <= "0010000001";     -- TODO: comment
310
                when "00100001001" => data <= "0010000001";     -- TODO: comment
311
                when "00100001010" => data <= "1010000000";     -- TODO: comment
312
                when "00100001011" => data <= "0110000000";     -- TODO: comment
313
                when "00100001100" => data <= "0110000000";     -- TODO: comment
314
                when "00100001101" => data <= "0011110110";     -- TODO: comment
315
                when "00100001110" => data <= "0000001100";     -- TODO: comment
316
                when "00100001111" => data <= "0000000000";     -- TODO: comment
317
                when "00100010000" => data <= "0010000001";     -- TODO: comment
318
                when "00100010001" => data <= "0010000001";     -- TODO: comment
319
                when "00100010010" => data <= "1011100000";     -- TODO: comment
320
                when "00100010011" => data <= "0100100110";     -- TODO: comment
321
                when "00100010100" => data <= "0110000000";     -- TODO: comment
322
                when "00100010101" => data <= "0011110110";     -- TODO: comment
323
                when "00100010110" => data <= "0010100110";     -- TODO: comment
324
                when "00100010111" => data <= "0000001101";     -- TODO: comment
325
                when "00100011000" => data <= "0000000000";     -- TODO: comment
326
                when "00100011001" => data <= "0010000001";     -- TODO: comment
327
                when "00100011010" => data <= "0010000001";     -- TODO: comment
328
                when "00100011011" => data <= "1000000000";     -- TODO: comment
329
                when "00100011100" => data <= "0110000000";     -- TODO: comment
330
                when "00100011101" => data <= "0011110100";     -- TODO: comment
331
                when "00100011110" => data <= "0000100110";     -- TODO: comment
332
                when "00100011111" => data <= "0011110010";     -- TODO: comment
333
                when "00100100000" => data <= "0011000110";     -- TODO: comment
334
                when "00100100001" => data <= "0000000101";     -- TODO: comment
335
                when "00100100010" => data <= "0000011001";     -- TODO: comment
336
                when "00100100011" => data <= "0010000000";     -- TODO: comment
337
                when "00100100100" => data <= "0010000000";     -- TODO: comment
338
                when "00100100101" => data <= "0010100110";     -- TODO: comment
339
                when "00100100110" => data <= "0010100011";     -- TODO: comment
340
                when "00100100111" => data <= "0110000000";     -- TODO: comment
341
                when "00100101000" => data <= "0011110100";     -- TODO: comment
342
                when "00100101001" => data <= "0011001110";     -- TODO: comment
343
                when "00100101010" => data <= "0100000001";     -- TODO: comment
344
                when "00100101011" => data <= "0011111000";     -- TODO: comment
345
                when "00100101100" => data <= "0000000100";     -- TODO: comment
346
                when "00100101101" => data <= "0000000100";     -- TODO: comment
347
                when "00100101110" => data <= "0011001001";     -- TODO: comment
348
                when "00100101111" => data <= "0010000000";     -- TODO: comment
349
                when "00100110000" => data <= "0001101001";     -- TODO: comment
350
                when "00100110001" => data <= "0010000000";     -- TODO: comment
351
                when "00100110010" => data <= "0010000000";     -- TODO: comment
352
                when "00100110011" => data <= "0011001001";     -- TODO: comment
353
                when "00100110100" => data <= "0000000100";     -- TODO: comment
354
                when "00100110101" => data <= "0000001000";     -- TODO: comment
355
                when "00100110110" => data <= "0010000001";     -- TODO: comment
356
                when "00100110111" => data <= "0010000001";     -- TODO: comment
357
                when "00100111000" => data <= "1011100000";     -- TODO: comment
358
                when "00100111001" => data <= "0000001011";     -- TODO: comment
359
                when "00100111010" => data <= "0000000000";     -- TODO: comment
360
                when "00100111011" => data <= "0000000000";     -- TODO: comment
361
                when "00100111100" => data <= "0010000001";     -- TODO: comment
362
                when "00100111101" => data <= "0010000001";     -- TODO: comment
363
                when "00100111110" => data <= "1010000000";     -- TODO: comment
364
                when "00100111111" => data <= "0000001010";     -- TODO: comment
365
                when "00101000000" => data <= "0000000000";     -- TODO: comment
366
                when "00101000001" => data <= "0010000001";     -- TODO: comment
367
                when "00101000010" => data <= "0010000001";     -- TODO: comment
368
                when "00101000011" => data <= "1011100000";     -- TODO: comment
369
                when "00101000100" => data <= "0000000000";     -- TODO: comment
370
                when "00101000101" => data <= "0011001111";     -- TODO: comment
371
                when "00101000110" => data <= "0000000111";     -- TODO: comment
372
                when "00101000111" => data <= "0011000011";     -- TODO: comment
373
                when "00101001000" => data <= "0000001001";     -- TODO: comment
374
                when "00101001001" => data <= "0010100101";     -- TODO: comment
375
                when "00101001010" => data <= "0011001001";     -- TODO: comment
376
                when "00101001011" => data <= "0000000100";     -- TODO: comment
377
                when "00101001100" => data <= "0010000001";     -- TODO: comment
378
                when "00101001101" => data <= "0010000001";     -- TODO: comment
379
                when "00101001110" => data <= "0000100101";     -- TODO: comment
380
                when "00101001111" => data <= "0011010000";     -- TODO: comment
381
                when "00101010000" => data <= "0000000111";     -- TODO: comment
382
                when "00101010001" => data <= "0011001001";     -- TODO: comment
383
                when "00101010010" => data <= "0000001001";     -- TODO: comment
384
                when "00101010011" => data <= "0010000001";     -- TODO: comment
385
                when "00101010100" => data <= "0010000001";     -- TODO: comment
386
                when "00101010101" => data <= "1010000000";     -- TODO: comment
387
                when "00101010110" => data <= "0000000000";     -- TODO: comment
388
                when "00101010111" => data <= "0010100101";     -- TODO: comment
389
                when "00101011000" => data <= "0011001001";     -- TODO: comment
390
                when "00101011001" => data <= "0000000101";     -- TODO: comment
391
                when "00101011010" => data <= "0011111000";     -- TODO: comment
392
                when "00101011011" => data <= "0000100101";     -- TODO: comment
393
                when "00101011100" => data <= "0001101010";     -- TODO: comment
394
                when "00101011101" => data <= "0011010000";     -- TODO: comment
395
                when "00101011110" => data <= "0000000111";     -- TODO: comment
396
                when "00101011111" => data <= "0011000011";     -- TODO: comment
397
                when "00101100000" => data <= "0000001001";     -- TODO: comment
398
                when "00101100001" => data <= "0010000001";     -- TODO: comment
399
                when "00101100010" => data <= "0010000001";     -- TODO: comment
400
                when "00101100011" => data <= "0011001111";     -- TODO: comment
401
                when "00101100100" => data <= "0000000111";     -- TODO: comment
402
                when "00101100101" => data <= "0011001001";     -- TODO: comment
403
                when "00101100110" => data <= "0000001001";     -- TODO: comment
404
                when "00101100111" => data <= "0010000001";     -- TODO: comment
405
                when "00101101000" => data <= "0010000001";     -- TODO: comment
406
                when "00101101001" => data <= "1010000000";     -- TODO: comment
407
                when "00101101010" => data <= "0110100001";     -- TODO: comment
408
                when "00101101011" => data <= "0110000000";     -- TODO: comment
409
                when "00101101100" => data <= "0011110110";     -- TODO: comment
410
                when "00101101101" => data <= "0000000100";     -- TODO: comment
411
                when "00101101110" => data <= "0000001000";     -- TODO: comment
412
                when "00101101111" => data <= "0010000001";     -- TODO: comment
413
                when "00101110000" => data <= "0010000001";     -- TODO: comment
414
                when "00101110001" => data <= "0011100000";     -- TODO: comment
415
                when "00101110010" => data <= "0011001001";     -- TODO: comment
416
                when "00101110011" => data <= "0001101011";     -- TODO: comment
417
                when "00101110100" => data <= "0011110001";     -- TODO: comment
418
                when "00101110101" => data <= "0000101110";     -- TODO: comment
419
                when "00101110110" => data <= "0110100001";     -- TODO: comment
420
                when "00101110111" => data <= "0110000000";     -- TODO: comment
421
                when "00101111000" => data <= "0011110110";     -- TODO: comment
422
                when "00101111001" => data <= "0100000100";     -- TODO: comment
423
                when "00101111010" => data <= "0100001000";     -- TODO: comment
424
                when "00101111011" => data <= "0010000001";     -- TODO: comment
425
                when "00101111100" => data <= "0010000001";     -- TODO: comment
426
                when "00101111101" => data <= "0011100000";     -- TODO: comment
427
                when "00101111110" => data <= "0011111000";     -- TODO: comment
428
                when "00101111111" => data <= "0011001110";     -- TODO: comment
429
                when "00110000000" => data <= "0000000001";     -- TODO: comment
430
                when "00110000001" => data <= "0000100110";     -- TODO: comment
431
                when "00110000010" => data <= "0011001000";     -- TODO: comment
432
                when "00110000011" => data <= "0000011100";     -- TODO: comment
433
                when "00110000100" => data <= "0000100111";     -- TODO: comment
434
                when "00110000101" => data <= "0011110000";     -- TODO: comment
435
                when "00110000110" => data <= "0011001001";     -- TODO: comment
436
                when "00110000111" => data <= "0000000100";     -- TODO: comment
437
                when "00110001000" => data <= "0010100110";     -- TODO: comment
438
                when "00110001001" => data <= "0000000101";     -- TODO: comment
439
                when "00110001010" => data <= "0000011010";     -- TODO: comment
440
                when "00110001011" => data <= "0010000000";     -- TODO: comment
441
                when "00110001100" => data <= "0011101101";     -- TODO: comment
442
                when "00110001101" => data <= "0011111000";     -- TODO: comment
443
                when "00110001110" => data <= "0010000000";     -- TODO: comment
444
                when "00110001111" => data <= "0001001100";     -- TODO: comment
445
                when "00110010000" => data <= "0011110001";     -- TODO: comment
446
                when "00110010001" => data <= "0000101110";     -- TODO: comment
447
                when "00110010010" => data <= "0011001001";     -- TODO: comment
448
                when "00110010011" => data <= "0000000100";     -- TODO: comment
449
                when "00110010100" => data <= "0000001000";     -- TODO: comment
450
                when "00110010101" => data <= "0010000001";     -- TODO: comment
451
                when "00110010110" => data <= "0010000001";     -- TODO: comment
452
                when "00110010111" => data <= "0011100000";     -- TODO: comment
453
                when "00110011000" => data <= "0011001001";     -- TODO: comment
454
                when "00110011001" => data <= "0000000101";     -- TODO: comment
455
                when "00110011010" => data <= "0000001000";     -- TODO: comment
456
                when "00110011011" => data <= "0010000001";     -- TODO: comment
457
                when "00110011100" => data <= "0010000001";     -- TODO: comment
458
                when "00110011101" => data <= "0011100000";     -- TODO: comment
459
                when "00110011110" => data <= "0010100111";     -- TODO: comment
460
                when "00110011111" => data <= "0000000100";     -- TODO: comment
461
                when "00110100000" => data <= "0000001000";     -- TODO: comment
462
                when "00110100001" => data <= "0010000001";     -- TODO: comment
463
                when "00110100010" => data <= "0010000001";     -- TODO: comment
464
                when "00110100011" => data <= "0011100000";     -- TODO: comment
465
                when "00110100100" => data <= "0011001001";     -- TODO: comment
466
                when "00110100101" => data <= "0001101101";     -- TODO: comment
467
                when "00110100110" => data <= "0010000000";     -- TODO: comment
468
                when "00110100111" => data <= "0010000000";     -- TODO: comment
469
                when "00110101000" => data <= "0110100001";     -- TODO: comment
470
                when "00110101001" => data <= "0110000000";     -- TODO: comment
471
                when "00110101010" => data <= "0011110110";     -- TODO: comment
472
                when "00110101011" => data <= "0000000100";     -- TODO: comment
473
                when "00110101100" => data <= "0000001000";     -- TODO: comment
474
                when "00110101101" => data <= "0010000001";     -- TODO: comment
475
                when "00110101110" => data <= "0010000001";     -- TODO: comment
476
                when "00110101111" => data <= "0011100000";     -- TODO: comment
477
                when "00110110000" => data <= "0011111000";     -- TODO: comment
478
                when "00110110001" => data <= "0011001110";     -- TODO: comment
479
                when "00110110010" => data <= "0000000001";     -- TODO: comment
480
                when "00110110011" => data <= "0000100110";     -- TODO: comment
481
                when "00110110100" => data <= "0011001000";     -- TODO: comment
482
                when "00110110101" => data <= "0000011100";     -- TODO: comment
483
                when "00110110110" => data <= "0000100111";     -- TODO: comment
484
                when "00110110111" => data <= "0011110000";     -- TODO: comment
485
                when "00110111000" => data <= "0011001001";     -- TODO: comment
486
                when "00110111001" => data <= "0000000100";     -- TODO: comment
487
                when "00110111010" => data <= "0010100110";     -- TODO: comment
488
                when "00110111011" => data <= "0000000101";     -- TODO: comment
489
                when "00110111100" => data <= "0000011010";     -- TODO: comment
490
                when "00110111101" => data <= "0010000000";     -- TODO: comment
491
                when "00110111110" => data <= "0011101101";     -- TODO: comment
492
                when "00110111111" => data <= "0011111000";     -- TODO: comment
493
                when "00111000000" => data <= "0010000000";     -- TODO: comment
494
                when "00111000001" => data <= "0001001110";     -- TODO: comment
495
                when "00111000010" => data <= "0011110001";     -- TODO: comment
496
                when "00111000011" => data <= "0000101110";     -- TODO: comment
497
                when "00111000100" => data <= "0011001001";     -- TODO: comment
498
                when "00111000101" => data <= "0000000100";     -- TODO: comment
499
                when "00111000110" => data <= "0000001000";     -- TODO: comment
500
                when "00111000111" => data <= "0010000001";     -- TODO: comment
501
                when "00111001000" => data <= "0010000001";     -- TODO: comment
502
                when "00111001001" => data <= "0011100000";     -- TODO: comment
503
                when "00111001010" => data <= "0011010001";     -- TODO: comment
504
                when "00111001011" => data <= "0000000100";     -- TODO: comment
505
                when "00111001100" => data <= "0000001000";     -- TODO: comment
506
                when "00111001101" => data <= "0010000001";     -- TODO: comment
507
                when "00111001110" => data <= "0010000001";     -- TODO: comment
508
                when "00111001111" => data <= "0011100000";     -- TODO: comment
509
                when "00111010000" => data <= "0011001100";     -- TODO: comment
510
                when "00111010001" => data <= "0000000100";     -- TODO: comment
511
                when "00111010010" => data <= "0011001001";     -- TODO: comment
512
                when "00111010011" => data <= "0001101111";     -- TODO: comment
513
                when "00111010100" => data <= "0010000000";     -- TODO: comment
514
                when "00111010101" => data <= "0010000000";     -- TODO: comment
515
                when "00111010110" => data <= "0010000000";     -- TODO: comment
516
                when "00111010111" => data <= "0110100001";     -- TODO: comment
517
                when "00111011000" => data <= "0110000000";     -- TODO: comment
518
                when "00111011001" => data <= "0011110110";     -- TODO: comment
519
                when "00111011010" => data <= "0000000100";     -- TODO: comment
520
                when "00111011011" => data <= "0000001000";     -- TODO: comment
521
                when "00111011100" => data <= "0010000001";     -- TODO: comment
522
                when "00111011101" => data <= "0010000001";     -- TODO: comment
523
                when "00111011110" => data <= "0011100000";     -- TODO: comment
524
                when "00111011111" => data <= "0011111000";     -- TODO: comment
525
                when "00111100000" => data <= "0011001110";     -- TODO: comment
526
                when "00111100001" => data <= "0000000001";     -- TODO: comment
527
                when "00111100010" => data <= "0000100110";     -- TODO: comment
528
                when "00111100011" => data <= "0011001000";     -- TODO: comment
529
                when "00111100100" => data <= "0000011100";     -- TODO: comment
530
                when "00111100101" => data <= "0000100111";     -- TODO: comment
531
                when "00111100110" => data <= "0011110000";     -- TODO: comment
532
                when "00111100111" => data <= "0011001001";     -- TODO: comment
533
                when "00111101000" => data <= "0000000100";     -- TODO: comment
534
                when "00111101001" => data <= "0010100110";     -- TODO: comment
535
                when "00111101010" => data <= "0000000101";     -- TODO: comment
536
                when "00111101011" => data <= "0000011010";     -- TODO: comment
537
                when "00111101100" => data <= "0010000000";     -- TODO: comment
538
                when "00111101101" => data <= "0011101101";     -- TODO: comment
539
                when "00111101110" => data <= "0011111000";     -- TODO: comment
540
                when "00111101111" => data <= "0010000000";     -- TODO: comment
541
                when "00111110000" => data <= "0001010000";     -- TODO: comment
542
                when "00111110001" => data <= "0011110001";     -- TODO: comment
543
                when "00111110010" => data <= "0000101110";     -- TODO: comment
544
                when "00111110011" => data <= "0011001001";     -- TODO: comment
545
                when "00111110100" => data <= "0000000100";     -- TODO: comment
546
                when "00111110101" => data <= "0000001000";     -- TODO: comment
547
                when "00111110110" => data <= "0010000001";     -- TODO: comment
548
                when "00111110111" => data <= "0010000001";     -- TODO: comment
549
                when "00111111000" => data <= "0011100000";     -- TODO: comment
550
                when "00111111001" => data <= "0010100111";     -- TODO: comment
551
                when "00111111010" => data <= "0000000100";     -- TODO: comment
552
                when "00111111011" => data <= "0011111000";     -- TODO: comment
553
                when "00111111100" => data <= "0011001001";     -- TODO: comment
554
                when "00111111101" => data <= "0000000100";     -- TODO: comment
555
                when "00111111110" => data <= "0000001000";     -- TODO: comment
556
                when "00111111111" => data <= "0010100000";     -- TODO: comment
557
                when "01000000000" => data <= "0000101101";     -- TODO: comment
558
                when "01000000001" => data <= "0000100000";     -- TODO: comment
559
                when "01000000010" => data <= "0010000001";     -- TODO: comment
560
                when "01000000011" => data <= "0010000001";     -- TODO: comment
561
                when "01000000100" => data <= "0011100000";     -- TODO: comment
562
                when "01000000101" => data <= "0011110010";     -- TODO: comment
563
                when "01000000110" => data <= "0011100111";     -- TODO: comment
564
                when "01000000111" => data <= "0000000101";     -- TODO: comment
565
                when "01000001000" => data <= "0000101111";     -- TODO: comment
566
                when "01000001001" => data <= "0010100000";     -- TODO: comment
567
                when "01000001010" => data <= "0000001000";     -- TODO: comment
568
                when "01000001011" => data <= "0010100001";     -- TODO: comment
569
                when "01000001100" => data <= "0000110000";     -- TODO: comment
570
                when "01000001101" => data <= "0010000001";     -- TODO: comment
571
                when "01000001110" => data <= "0010000001";     -- TODO: comment
572
                when "01000001111" => data <= "0011100000";     -- TODO: comment
573
                when "01000010000" => data <= "0000001111";     -- TODO: comment
574
                when "01000010001" => data <= "0011111000";     -- TODO: comment
575
                when "01000010010" => data <= "0011010010";     -- TODO: comment
576
                when "01000010011" => data <= "0000000001";     -- TODO: comment
577
                when "01000010100" => data <= "0000110011";     -- TODO: comment
578
                when "01000010101" => data <= "0011001100";     -- TODO: comment
579
                when "01000010110" => data <= "0000011100";     -- TODO: comment
580
                when "01000010111" => data <= "0011111000";     -- TODO: comment
581
                when "01000011000" => data <= "0011010010";     -- TODO: comment
582
                when "01000011001" => data <= "0000000001";     -- TODO: comment
583
                when "01000011010" => data <= "0000110100";     -- TODO: comment
584
                when "01000011011" => data <= "0011001100";     -- TODO: comment
585
                when "01000011100" => data <= "0000011100";     -- TODO: comment
586
                when "01000011101" => data <= "0000100001";     -- TODO: comment
587
                when "01000011110" => data <= "0011110000";     -- TODO: comment
588
                when "01000011111" => data <= "0011001001";     -- TODO: comment
589
                when "01000100000" => data <= "0000000100";     -- TODO: comment
590
                when "01000100001" => data <= "0011111000";     -- TODO: comment
591
                when "01000100010" => data <= "0010110011";     -- TODO: comment
592
                when "01000100011" => data <= "0000000101";     -- TODO: comment
593
                when "01000100100" => data <= "0000110101";     -- TODO: comment
594
                when "01000100101" => data <= "0010110101";     -- TODO: comment
595
                when "01000100110" => data <= "0011001001";     -- TODO: comment
596
                when "01000100111" => data <= "0000000100";     -- TODO: comment
597
                when "01000101000" => data <= "0000011000";     -- TODO: comment
598
                when "01000101001" => data <= "0010110100";     -- TODO: comment
599
                when "01000101010" => data <= "0000000100";     -- TODO: comment
600
                when "01000101011" => data <= "0010000000";     -- TODO: comment
601
                when "01000101100" => data <= "0000011011";     -- TODO: comment
602
                when "01000101101" => data <= "0000000000";     -- TODO: comment
603
                when "01000101110" => data <= "0000000000";     -- TODO: comment
604
                when "01000101111" => data <= "0010110101";     -- TODO: comment
605
                when "01000110000" => data <= "0010101111";     -- TODO: comment
606
                when "01000110001" => data <= "0011100111";     -- TODO: comment
607
                when "01000110010" => data <= "0000011001";     -- TODO: comment
608
                when "01000110011" => data <= "0010101110";     -- TODO: comment
609
                when "01000110100" => data <= "0010110000";     -- TODO: comment
610
                when "01000110101" => data <= "0010101101";     -- TODO: comment
611
                when "01000110110" => data <= "0010000001";     -- TODO: comment
612
                when "01000110111" => data <= "0010000001";     -- TODO: comment
613
                when "01000111000" => data <= "1010000000";     -- TODO: comment
614
                when "01000111001" => data <= "0000100110";     -- TODO: comment
615
                when "01000111010" => data <= "0011111000";     -- TODO: comment
616
                when "01000111011" => data <= "0000001000";     -- TODO: comment
617
                when "01000111100" => data <= "0000100000";     -- TODO: comment
618
                when "01000111101" => data <= "0000100001";     -- TODO: comment
619
                when "01000111110" => data <= "0000011000";     -- TODO: comment
620
                when "01000111111" => data <= "0010000001";     -- TODO: comment
621
                when "01001000000" => data <= "0010000001";     -- TODO: comment
622
                when "01001000001" => data <= "0011100000";     -- TODO: comment
623
                when "01001000010" => data <= "0000001111";     -- TODO: comment
624
                when "01001000011" => data <= "0000101111";     -- TODO: comment
625
                when "01001000100" => data <= "0010000000";     -- TODO: comment
626
                when "01001000101" => data <= "0000011011";     -- TODO: comment
627
                when "01001000110" => data <= "0000000000";     -- TODO: comment
628
                when "01001000111" => data <= "0000000000";     -- TODO: comment
629
                when "01001001000" => data <= "0011100111";     -- TODO: comment
630
                when "01001001001" => data <= "0010101111";     -- TODO: comment
631
                when "01001001010" => data <= "0000000100";     -- TODO: comment
632
                when "01001001011" => data <= "0000011001";     -- TODO: comment
633
                when "01001001100" => data <= "0010100110";     -- TODO: comment
634
                when "01001001101" => data <= "0010000001";     -- TODO: comment
635
                when "01001001110" => data <= "0010000001";     -- TODO: comment
636
                when "01001001111" => data <= "1010000000";     -- TODO: comment
637
                when "01001010000" => data <= "0000100110";     -- TODO: comment
638
                when "01001010001" => data <= "0000100111";     -- TODO: comment
639
                when "01001010010" => data <= "0011111000";     -- TODO: comment
640
                when "01001010011" => data <= "0000001000";     -- TODO: comment
641
                when "01001010100" => data <= "0000100000";     -- TODO: comment
642
                when "01001010101" => data <= "0000100001";     -- TODO: comment
643
                when "01001010110" => data <= "0000011000";     -- TODO: comment
644
                when "01001010111" => data <= "0010000001";     -- TODO: comment
645
                when "01001011000" => data <= "0010000001";     -- TODO: comment
646
                when "01001011001" => data <= "0011100000";     -- TODO: comment
647
                when "01001011010" => data <= "0000001111";     -- TODO: comment
648
                when "01001011011" => data <= "0000101111";     -- TODO: comment
649
                when "01001011100" => data <= "0010000000";     -- TODO: comment
650
                when "01001011101" => data <= "0000011011";     -- TODO: comment
651
                when "01001011110" => data <= "0000000000";     -- TODO: comment
652
                when "01001011111" => data <= "0000000000";     -- TODO: comment
653
                when "01001100000" => data <= "0011100111";     -- TODO: comment
654
                when "01001100001" => data <= "0010101111";     -- TODO: comment
655
                when "01001100010" => data <= "0000000100";     -- TODO: comment
656
                when "01001100011" => data <= "0000011001";     -- TODO: comment
657
                when "01001100100" => data <= "0010100111";     -- TODO: comment
658
                when "01001100101" => data <= "0010100110";     -- TODO: comment
659
                when "01001100110" => data <= "0010000001";     -- TODO: comment
660
                when "01001100111" => data <= "0010000001";     -- TODO: comment
661
                when "01001101000" => data <= "1010000000";     -- TODO: comment
662
                when "01001101001" => data <= "0011111000";     -- TODO: comment
663
                when "01001101010" => data <= "0000001000";     -- TODO: comment
664
                when "01001101011" => data <= "0000100000";     -- TODO: comment
665
                when "01001101100" => data <= "0000100001";     -- TODO: comment
666
                when "01001101101" => data <= "0000011000";     -- TODO: comment
667
                when "01001101110" => data <= "0010000001";     -- TODO: comment
668
                when "01001101111" => data <= "0010000001";     -- TODO: comment
669
                when "01001110000" => data <= "0011100000";     -- TODO: comment
670
                when "01001110001" => data <= "0000001111";     -- TODO: comment
671
                when "01001110010" => data <= "0000101111";     -- TODO: comment
672
                when "01001110011" => data <= "0010000000";     -- TODO: comment
673
                when "01001110100" => data <= "0000011011";     -- TODO: comment
674
                when "01001110101" => data <= "0011100111";     -- TODO: comment
675
                when "01001110110" => data <= "0010101111";     -- TODO: comment
676
                when "01001110111" => data <= "0000000100";     -- TODO: comment
677
                when "01001111000" => data <= "0000011001";     -- TODO: comment
678
                when "01001111001" => data <= "0000000000";     -- TODO: comment
679
                when "01001111010" => data <= "0000000000";     -- TODO: comment
680
                when "01001111011" => data <= "0010000001";     -- TODO: comment
681
                when "01001111100" => data <= "0010000001";     -- TODO: comment
682
                when "01001111101" => data <= "1010000000";     -- TODO: comment
683
                when "01001111110" => data <= "0010000001";     -- TODO: comment
684
                when "01001111111" => data <= "0010000001";     -- TODO: comment
685
                when "01010000000" => data <= "0010100100";     -- TODO: comment
686
                when "01010000001" => data <= "0011000110";     -- TODO: comment
687
                when "01010000010" => data <= "0000000100";     -- TODO: comment
688
                when "01010000011" => data <= "0011001001";     -- TODO: comment
689
                when "01010000100" => data <= "0010000000";     -- TODO: comment
690
                when "01010000101" => data <= "0001110001";     -- TODO: comment
691
                when "01010000110" => data <= "0010000000";     -- TODO: comment
692
                when "01010000111" => data <= "0010000000";     -- TODO: comment
693
                when "01010001000" => data <= "0010000001";     -- TODO: comment
694
                when "01010001001" => data <= "0010000001";     -- TODO: comment
695
                when "01010001010" => data <= "0010100100";     -- TODO: comment
696
                when "01010001011" => data <= "0011000100";     -- TODO: comment
697
                when "01010001100" => data <= "0000000100";     -- TODO: comment
698
                when "01010001101" => data <= "0011001001";     -- TODO: comment
699
                when "01010001110" => data <= "0010000000";     -- TODO: comment
700
                when "01010001111" => data <= "0001110010";     -- TODO: comment
701
                when "01010010000" => data <= "0010000000";     -- TODO: comment
702
                when "01010010001" => data <= "0010000000";     -- TODO: comment
703
                when "01010010010" => data <= "0110100001";     -- TODO: comment
704
                when "01010010011" => data <= "0110000000";     -- TODO: comment
705
                when "01010010100" => data <= "0011110110";     -- TODO: comment
706
                when "01010010101" => data <= "0000000100";     -- TODO: comment
707
                when "01010010110" => data <= "0011111000";     -- TODO: comment
708
                when "01010010111" => data <= "0000001000";     -- TODO: comment
709
                when "01010011000" => data <= "0011001001";     -- TODO: comment
710
                when "01010011001" => data <= "0000000100";     -- TODO: comment
711
                when "01010011010" => data <= "0010000001";     -- TODO: comment
712
                when "01010011011" => data <= "0010000001";     -- TODO: comment
713
                when "01010011100" => data <= "0011100000";     -- TODO: comment
714
                when "01010011101" => data <= "0000100110";     -- TODO: comment
715
                when "01010011110" => data <= "0000001000";     -- TODO: comment
716
                when "01010011111" => data <= "0010100110";     -- TODO: comment
717
                when "01010100000" => data <= "0010000001";     -- TODO: comment
718
                when "01010100001" => data <= "0010000001";     -- TODO: comment
719
                when "01010100010" => data <= "1011100000";     -- TODO: comment
720
                when "01010100011" => data <= "0011000011";     -- TODO: comment
721
                when "01010100100" => data <= "1011000011";     -- TODO: comment
722
                when "01010100101" => data <= "0011000011";     -- TODO: comment
723
                when "01010100110" => data <= "1011001001";     -- TODO: comment
724
                when "01010100111" => data <= "0000100110";     -- TODO: comment
725
                when "01010101000" => data <= "0000000000";     -- TODO: comment
726
                when "01010101001" => data <= "1010100110";     -- TODO: comment
727
                when "01010101010" => data <= "0011101000";     -- TODO: comment
728
                when "01010101011" => data <= "1011101001";     -- TODO: comment
729
                when "01010101100" => data <= "0011101001";     -- TODO: comment
730
                when "01010101101" => data <= "1011101010";     -- TODO: comment
731
                when "01010101110" => data <= "0011101010";     -- TODO: comment
732
                when "01010101111" => data <= "1011101011";     -- TODO: comment
733
                when "01010110000" => data <= "0011110001";     -- TODO: comment
734
                when "01010110001" => data <= "0011111000";     -- TODO: comment
735
                when "01010110010" => data <= "0011001001";     -- TODO: comment
736
                when "01010110011" => data <= "0000000100";     -- TODO: comment
737
                when "01010110100" => data <= "0000011000";     -- TODO: comment
738
                when "01010110101" => data <= "0000100110";     -- TODO: comment
739
                when "01010110110" => data <= "0011101010";     -- TODO: comment
740
                when "01010110111" => data <= "0011101011";     -- TODO: comment
741
                when "01010111000" => data <= "0010100110";     -- TODO: comment
742
                when "01010111001" => data <= "0000011000";     -- TODO: comment
743
                when "01010111010" => data <= "1010000000";     -- TODO: comment
744
                when "01010111011" => data <= "0011110001";     -- TODO: comment
745
                when "01010111100" => data <= "0111111000";     -- TODO: comment
746
                when "01010111101" => data <= "0011110100";     -- TODO: comment
747
                when "01010111110" => data <= "0000000100";     -- TODO: comment
748
                when "01010111111" => data <= "0000011000";     -- TODO: comment
749
                when "01011000000" => data <= "0000100110";     -- TODO: comment
750
                when "01011000001" => data <= "0011101000";     -- TODO: comment
751
                when "01011000010" => data <= "0011101001";     -- TODO: comment
752
                when "01011000011" => data <= "0010100110";     -- TODO: comment
753
                when "01011000100" => data <= "0000011000";     -- TODO: comment
754
                when "01011000101" => data <= "1010000000";     -- TODO: comment
755
                when "01011000110" => data <= "0000010001";     -- TODO: comment
756
                when "01011000111" => data <= "1000010000";     -- TODO: comment
757
                when "01011001000" => data <= "0000010010";     -- TODO: comment
758
                when "01011001001" => data <= "1000010001";     -- TODO: comment
759
                when "01011001010" => data <= "0000010011";     -- TODO: comment
760
                when "01011001011" => data <= "1000010010";     -- TODO: comment
761
                when "01011001100" => data <= "0011110001";     -- TODO: comment
762
                when "01011001101" => data <= "0011111000";     -- TODO: comment
763
                when "01011001110" => data <= "0011001001";     -- TODO: comment
764
                when "01011001111" => data <= "0000000100";     -- TODO: comment
765
                when "01011010000" => data <= "0000011000";     -- TODO: comment
766
                when "01011010001" => data <= "0000100110";     -- TODO: comment
767
                when "01011010010" => data <= "0000010011";     -- TODO: comment
768
                when "01011010011" => data <= "0000010010";     -- TODO: comment
769
                when "01011010100" => data <= "0010100110";     -- TODO: comment
770
                when "01011010101" => data <= "0000011000";     -- TODO: comment
771
                when "01011010110" => data <= "1010000000";     -- TODO: comment
772
                when "01011010111" => data <= "0011110001";     -- TODO: comment
773
                when "01011011000" => data <= "0111111000";     -- TODO: comment
774
                when "01011011001" => data <= "0011110100";     -- TODO: comment
775
                when "01011011010" => data <= "0000000100";     -- TODO: comment
776
                when "01011011011" => data <= "0000011000";     -- TODO: comment
777
                when "01011011100" => data <= "0000100110";     -- TODO: comment
778
                when "01011011101" => data <= "0000010001";     -- TODO: comment
779
                when "01011011110" => data <= "0000010000";     -- TODO: comment
780
                when "01011011111" => data <= "0010100110";     -- TODO: comment
781
                when "01011100000" => data <= "0000011000";     -- TODO: comment
782
                when "01011100001" => data <= "1010000000";     -- TODO: comment
783
                when "01011100010" => data <= "0110000000";     -- TODO: comment
784
                when "01011100011" => data <= "0110000000";     -- TODO: comment
785
                when "01011100100" => data <= "0011110110";     -- TODO: comment
786
                when "01011100101" => data <= "0011111000";     -- TODO: comment
787
                when "01011100110" => data <= "0000001000";     -- TODO: comment
788
                when "01011100111" => data <= "0011001001";     -- TODO: comment
789
                when "01011101000" => data <= "0000000100";     -- TODO: comment
790
                when "01011101001" => data <= "0000100110";     -- TODO: comment
791
                when "01011101010" => data <= "0010000001";     -- TODO: comment
792
                when "01011101011" => data <= "0010000001";     -- TODO: comment
793
                when "01011101100" => data <= "0011100000";     -- TODO: comment
794
                when "01011101101" => data <= "0010100110";     -- TODO: comment
795
                when "01011101110" => data <= "0000001000";     -- TODO: comment
796
                when "01011101111" => data <= "0010000001";     -- TODO: comment
797
                when "01011110000" => data <= "0010000001";     -- TODO: comment
798
                when "01011110001" => data <= "1011100000";     -- TODO: comment
799
                when "01011110010" => data <= "0100100111";     -- TODO: comment
800
                when "01011110011" => data <= "0100100110";     -- TODO: comment
801
                when "01011110100" => data <= "0011110110";     -- TODO: comment
802
                when "01011110101" => data <= "0011111000";     -- TODO: comment
803
                when "01011110110" => data <= "0000000111";     -- TODO: comment
804
                when "01011110111" => data <= "0010100110";     -- TODO: comment
805
                when "01011111000" => data <= "0000001001";     -- TODO: comment
806
                when "01011111001" => data <= "0011001001";     -- TODO: comment
807
                when "01011111010" => data <= "0000000100";     -- TODO: comment
808
                when "01011111011" => data <= "0010000001";     -- TODO: comment
809
                when "01011111100" => data <= "0010000001";     -- TODO: comment
810
                when "01011111101" => data <= "0000000111";     -- TODO: comment
811
                when "01011111110" => data <= "0010100111";     -- TODO: comment
812
                when "01011111111" => data <= "0000001001";     -- TODO: comment
813
                when "01100000000" => data <= "0010000001";     -- TODO: comment
814
                when "01100000001" => data <= "0010000001";     -- TODO: comment
815
                when "01100000010" => data <= "1010000000";     -- TODO: comment
816
                when "01100000011" => data <= "0011111000";     -- TODO: comment
817
                when "01100000100" => data <= "0010000000";     -- TODO: comment
818
                when "01100000101" => data <= "0001010011";     -- TODO: comment
819
                when "01100000110" => data <= "0010000000";     -- TODO: comment
820
                when "01100000111" => data <= "0010000000";     -- TODO: comment
821
                when "01100001000" => data <= "0000001000";     -- TODO: comment
822
                when "01100001001" => data <= "0010000001";     -- TODO: comment
823
                when "01100001010" => data <= "0010000001";     -- TODO: comment
824
                when "01100001011" => data <= "0011100000";     -- TODO: comment
825
                when "01100001100" => data <= "0110000000";     -- TODO: comment
826
                when "01100001101" => data <= "0110000000";     -- TODO: comment
827
                when "01100001110" => data <= "0011110110";     -- TODO: comment
828
                when "01100001111" => data <= "0000000100";     -- TODO: comment
829
                when "01100010000" => data <= "0011111000";     -- TODO: comment
830
                when "01100010001" => data <= "0000001000";     -- TODO: comment
831
                when "01100010010" => data <= "0011001001";     -- TODO: comment
832
                when "01100010011" => data <= "0000000100";     -- TODO: comment
833
                when "01100010100" => data <= "0000100110";     -- TODO: comment
834
                when "01100010101" => data <= "0010000001";     -- TODO: comment
835
                when "01100010110" => data <= "0010000001";     -- TODO: comment
836
                when "01100010111" => data <= "0011100000";     -- TODO: comment
837
                when "01100011000" => data <= "0010100110";     -- TODO: comment
838
                when "01100011001" => data <= "0000001000";     -- TODO: comment
839
                when "01100011010" => data <= "0010000001";     -- TODO: comment
840
                when "01100011011" => data <= "0010000001";     -- TODO: comment
841
                when "01100011100" => data <= "1011100000";     -- TODO: comment
842
                when "01100011101" => data <= "0000100111";     -- TODO: comment
843
                when "01100011110" => data <= "0000100110";     -- TODO: comment
844
                when "01100011111" => data <= "0011111000";     -- TODO: comment
845
                when "01100100000" => data <= "0010000000";     -- TODO: comment
846
                when "01100100001" => data <= "0001010100";     -- TODO: comment
847
                when "01100100010" => data <= "0010000000";     -- TODO: comment
848
                when "01100100011" => data <= "0010000000";     -- TODO: comment
849
                when "01100100100" => data <= "0000001000";     -- TODO: comment
850
                when "01100100101" => data <= "0010000001";     -- TODO: comment
851
                when "01100100110" => data <= "0010000001";     -- TODO: comment
852
                when "01100100111" => data <= "0011100000";     -- TODO: comment
853
                when "01100101000" => data <= "0110000000";     -- TODO: comment
854
                when "01100101001" => data <= "0110000000";     -- TODO: comment
855
                when "01100101010" => data <= "0011110110";     -- TODO: comment
856
                when "01100101011" => data <= "0000000100";     -- TODO: comment
857
                when "01100101100" => data <= "0011111000";     -- TODO: comment
858
                when "01100101101" => data <= "0000000111";     -- TODO: comment
859
                when "01100101110" => data <= "0010100110";     -- TODO: comment
860
                when "01100101111" => data <= "0000001001";     -- TODO: comment
861
                when "01100110000" => data <= "0011001001";     -- TODO: comment
862
                when "01100110001" => data <= "0000000100";     -- TODO: comment
863
                when "01100110010" => data <= "0010000001";     -- TODO: comment
864
                when "01100110011" => data <= "0010000001";     -- TODO: comment
865
                when "01100110100" => data <= "0000000111";     -- TODO: comment
866
                when "01100110101" => data <= "0010100111";     -- TODO: comment
867
                when "01100110110" => data <= "0000001001";     -- TODO: comment
868
                when "01100110111" => data <= "0010000001";     -- TODO: comment
869
                when "01100111000" => data <= "0010000001";     -- TODO: comment
870
                when "01100111001" => data <= "1010000000";     -- TODO: comment
871
                when "01100111010" => data <= "0000100110";     -- TODO: comment
872
                when "01100111011" => data <= "0000101000";     -- TODO: comment
873
                when "01100111100" => data <= "0000100111";     -- TODO: comment
874
                when "01100111101" => data <= "0011111000";     -- TODO: comment
875
                when "01100111110" => data <= "0011111000";     -- TODO: comment
876
                when "01100111111" => data <= "0001010101";     -- TODO: comment
877
                when "01101000000" => data <= "0011001001";     -- TODO: comment
878
                when "01101000001" => data <= "0000000100";     -- TODO: comment
879
                when "01101000010" => data <= "0000001000";     -- TODO: comment
880
                when "01101000011" => data <= "0010000001";     -- TODO: comment
881
                when "01101000100" => data <= "0010000001";     -- TODO: comment
882
                when "01101000101" => data <= "0011100000";     -- TODO: comment
883
                when "01101000110" => data <= "0011001001";     -- TODO: comment
884
                when "01101000111" => data <= "0000000101";     -- TODO: comment
885
                when "01101001000" => data <= "0010100111";     -- TODO: comment
886
                when "01101001001" => data <= "0000000101";     -- TODO: comment
887
                when "01101001010" => data <= "0010100111";     -- TODO: comment
888
                when "01101001011" => data <= "0000000010";     -- TODO: comment
889
                when "01101001100" => data <= "0011010011";     -- TODO: comment
890
                when "01101001101" => data <= "0000000001";     -- TODO: comment
891
                when "01101001110" => data <= "0010000000";     -- TODO: comment
892
                when "01101001111" => data <= "0001110110";     -- TODO: comment
893
                when "01101010000" => data <= "0010000000";     -- TODO: comment
894
                when "01101010001" => data <= "0010000000";     -- TODO: comment
895
                when "01101010010" => data <= "0000001000";     -- TODO: comment
896
                when "01101010011" => data <= "0010000001";     -- TODO: comment
897
                when "01101010100" => data <= "0010000001";     -- TODO: comment
898
                when "01101010101" => data <= "0011100000";     -- TODO: comment
899
                when "01101010110" => data <= "0010100111";     -- TODO: comment
900
                when "01101010111" => data <= "0011001001";     -- TODO: comment
901
                when "01101011000" => data <= "0000011101";     -- TODO: comment
902
                when "01101011001" => data <= "0000000100";     -- TODO: comment
903
                when "01101011010" => data <= "0000101001";     -- TODO: comment
904
                when "01101011011" => data <= "0010101001";     -- TODO: comment
905
                when "01101011100" => data <= "0000000111";     -- TODO: comment
906
                when "01101011101" => data <= "0010101000";     -- TODO: comment
907
                when "01101011110" => data <= "0000001001";     -- TODO: comment
908
                when "01101011111" => data <= "0010101001";     -- TODO: comment
909
                when "01101100000" => data <= "0011001001";     -- TODO: comment
910
                when "01101100001" => data <= "0000000100";     -- TODO: comment
911
                when "01101100010" => data <= "0010000001";     -- TODO: comment
912
                when "01101100011" => data <= "0010000001";     -- TODO: comment
913
                when "01101100100" => data <= "0000000111";     -- TODO: comment
914
                when "01101100101" => data <= "0010100110";     -- TODO: comment
915
                when "01101100110" => data <= "0000001001";     -- TODO: comment
916
                when "01101100111" => data <= "0010000001";     -- TODO: comment
917
                when "01101101000" => data <= "0010000001";     -- TODO: comment
918
                when "01101101001" => data <= "1010000000";     -- TODO: comment
919
                when "01101101010" => data <= "0000100111";     -- TODO: comment
920
                when "01101101011" => data <= "0011111000";     -- TODO: comment
921
                when "01101101100" => data <= "0011111000";     -- TODO: comment
922
                when "01101101101" => data <= "0001010111";     -- TODO: comment
923
                when "01101101110" => data <= "0011001001";     -- TODO: comment
924
                when "01101101111" => data <= "0000000100";     -- TODO: comment
925
                when "01101110000" => data <= "0000001000";     -- TODO: comment
926
                when "01101110001" => data <= "0010000001";     -- TODO: comment
927
                when "01101110010" => data <= "0010000001";     -- TODO: comment
928
                when "01101110011" => data <= "0011100000";     -- TODO: comment
929
                when "01101110100" => data <= "0011001001";     -- TODO: comment
930
                when "01101110101" => data <= "0000000101";     -- TODO: comment
931
                when "01101110110" => data <= "0010100111";     -- TODO: comment
932
                when "01101110111" => data <= "0000000101";     -- TODO: comment
933
                when "01101111000" => data <= "0010100111";     -- TODO: comment
934
                when "01101111001" => data <= "0000000010";     -- TODO: comment
935
                when "01101111010" => data <= "0011010011";     -- TODO: comment
936
                when "01101111011" => data <= "0000000001";     -- TODO: comment
937
                when "01101111100" => data <= "0010000000";     -- TODO: comment
938
                when "01101111101" => data <= "0001111000";     -- TODO: comment
939
                when "01101111110" => data <= "0010000000";     -- TODO: comment
940
                when "01101111111" => data <= "0010000000";     -- TODO: comment
941
                when "01110000000" => data <= "0000001000";     -- TODO: comment
942
                when "01110000001" => data <= "0010000001";     -- TODO: comment
943
                when "01110000010" => data <= "0010000001";     -- TODO: comment
944
                when "01110000011" => data <= "0011100000";     -- TODO: comment
945
                when "01110000100" => data <= "0010100111";     -- TODO: comment
946
                when "01110000101" => data <= "0011001001";     -- TODO: comment
947
                when "01110000110" => data <= "0000011101";     -- TODO: comment
948
                when "01110000111" => data <= "0000000100";     -- TODO: comment
949
                when "01110001000" => data <= "0011111000";     -- TODO: comment
950
                when "01110001001" => data <= "0000101001";     -- TODO: comment
951
                when "01110001010" => data <= "0000001000";     -- TODO: comment
952
                when "01110001011" => data <= "0010000001";     -- TODO: comment
953
                when "01110001100" => data <= "0010000001";     -- TODO: comment
954
                when "01110001101" => data <= "0011100000";     -- TODO: comment
955
                when "01110001110" => data <= "0010101001";     -- TODO: comment
956
                when "01110001111" => data <= "0011001001";     -- TODO: comment
957
                when "01110010000" => data <= "0000000100";     -- TODO: comment
958
                when "01110010001" => data <= "0000001000";     -- TODO: comment
959
                when "01110010010" => data <= "0010000001";     -- TODO: comment
960
                when "01110010011" => data <= "0010000001";     -- TODO: comment
961
                when "01110010100" => data <= "1011100000";     -- TODO: comment
962
                when "01110010101" => data <= "0011110010";     -- TODO: comment
963
                when "01110010110" => data <= "0011001001";     -- TODO: comment
964
                when "01110010111" => data <= "0000000101";     -- TODO: comment
965
                when "01110011000" => data <= "0000011001";     -- TODO: comment
966
                when "01110011001" => data <= "0010100100";     -- TODO: comment
967
                when "01110011010" => data <= "0011001001";     -- TODO: comment
968
                when "01110011011" => data <= "0010000000";     -- TODO: comment
969
                when "01110011100" => data <= "0001111001";     -- TODO: comment
970
                when "01110011101" => data <= "0010000000";     -- TODO: comment
971
                when "01110011110" => data <= "0010000000";     -- TODO: comment
972
                when "01110011111" => data <= "0011110010";     -- TODO: comment
973
                when "01110100000" => data <= "0011001001";     -- TODO: comment
974
                when "01110100001" => data <= "0000000101";     -- TODO: comment
975
                when "01110100010" => data <= "0000011001";     -- TODO: comment
976
                when "01110100011" => data <= "0010100100";     -- TODO: comment
977
                when "01110100100" => data <= "0011010100";     -- TODO: comment
978
                when "01110100101" => data <= "0000000100";     -- TODO: comment
979
                when "01110100110" => data <= "0011001001";     -- TODO: comment
980
                when "01110100111" => data <= "0010000000";     -- TODO: comment
981
                when "01110101000" => data <= "0001111010";     -- TODO: comment
982
                when "01110101001" => data <= "0010000000";     -- TODO: comment
983
                when "01110101010" => data <= "0010000000";     -- TODO: comment
984
                when "01110101011" => data <= "0011110010";     -- TODO: comment
985
                when "01110101100" => data <= "0011001001";     -- TODO: comment
986
                when "01110101101" => data <= "0000000101";     -- TODO: comment
987
                when "01110101110" => data <= "0000011001";     -- TODO: comment
988
                when "01110101111" => data <= "0010000000";     -- TODO: comment
989
                when "01110110000" => data <= "0010000000";     -- TODO: comment
990
                when "01110110001" => data <= "0010100011";     -- TODO: comment
991
                when "01110110010" => data <= "0110000000";     -- TODO: comment
992
                when "01110110011" => data <= "0011110100";     -- TODO: comment
993
                when "01110110100" => data <= "0011001110";     -- TODO: comment
994
                when "01110110101" => data <= "0000000001";     -- TODO: comment
995
                when "01110110110" => data <= "0011111000";     -- TODO: comment
996
                when "01110110111" => data <= "0000000100";     -- TODO: comment
997
                when "01110111000" => data <= "0000000100";     -- TODO: comment
998
                when "01110111001" => data <= "0011001001";     -- TODO: comment
999
                when "01110111010" => data <= "0010000000";     -- TODO: comment
1000
                when "01110111011" => data <= "0001111011";     -- TODO: comment
1001
                when "01110111100" => data <= "0010000000";     -- TODO: comment
1002
                when "01110111101" => data <= "0010000000";     -- TODO: comment
1003
                when "01110111110" => data <= "0011110010";     -- TODO: comment
1004
                when "01110111111" => data <= "0011001001";     -- TODO: comment
1005
                when "01111000000" => data <= "0000000101";     -- TODO: comment
1006
                when "01111000001" => data <= "0000011001";     -- TODO: comment
1007
                when "01111000010" => data <= "0010000000";     -- TODO: comment
1008
                when "01111000011" => data <= "0010000000";     -- TODO: comment
1009
                when "01111000100" => data <= "0010100011";     -- TODO: comment
1010
                when "01111000101" => data <= "0110000000";     -- TODO: comment
1011
                when "01111000110" => data <= "0011110100";     -- TODO: comment
1012
                when "01111000111" => data <= "0011001110";     -- TODO: comment
1013
                when "01111001000" => data <= "0000000001";     -- TODO: comment
1014
                when "01111001001" => data <= "0011111000";     -- TODO: comment
1015
                when "01111001010" => data <= "0000000100";     -- TODO: comment
1016
                when "01111001011" => data <= "0000000100";     -- TODO: comment
1017
                when "01111001100" => data <= "0000100110";     -- TODO: comment
1018
                when "01111001101" => data <= "0110100001";     -- TODO: comment
1019
                when "01111001110" => data <= "0110000000";     -- TODO: comment
1020
                when "01111001111" => data <= "0011110110";     -- TODO: comment
1021
                when "01111010000" => data <= "0000000100";     -- TODO: comment
1022
                when "01111010001" => data <= "0000001000";     -- TODO: comment
1023
                when "01111010010" => data <= "0010000001";     -- TODO: comment
1024
                when "01111010011" => data <= "0010000001";     -- TODO: comment
1025
                when "01111010100" => data <= "0011100000";     -- TODO: comment
1026
                when "01111010101" => data <= "0010100110";     -- TODO: comment
1027
                when "01111010110" => data <= "0011001001";     -- TODO: comment
1028
                when "01111010111" => data <= "0010000000";     -- TODO: comment
1029
                when "01111011000" => data <= "0001111100";     -- TODO: comment
1030
                when "01111011001" => data <= "0010000000";     -- TODO: comment
1031
                when "01111011010" => data <= "0010000000";     -- TODO: comment
1032
                when "01111011011" => data <= "0011110010";     -- TODO: comment
1033
                when "01111011100" => data <= "0011001001";     -- TODO: comment
1034
                when "01111011101" => data <= "0000000101";     -- TODO: comment
1035
                when "01111011110" => data <= "0000011001";     -- TODO: comment
1036
                when "01111011111" => data <= "0010000000";     -- TODO: comment
1037
                when "01111100000" => data <= "0010000000";     -- TODO: comment
1038
                when "01111100001" => data <= "0010100011";     -- TODO: comment
1039
                when "01111100010" => data <= "0110000000";     -- TODO: comment
1040
                when "01111100011" => data <= "0011110100";     -- TODO: comment
1041
                when "01111100100" => data <= "0011001110";     -- TODO: comment
1042
                when "01111100101" => data <= "0000000001";     -- TODO: comment
1043
                when "01111100110" => data <= "0011111000";     -- TODO: comment
1044
                when "01111100111" => data <= "0000000100";     -- TODO: comment
1045
                when "01111101000" => data <= "0000000100";     -- TODO: comment
1046
                when "01111101001" => data <= "0000100110";     -- TODO: comment
1047
                when "01111101010" => data <= "0110000000";     -- TODO: comment
1048
                when "01111101011" => data <= "0110000000";     -- TODO: comment
1049
                when "01111101100" => data <= "0011110110";     -- TODO: comment
1050
                when "01111101101" => data <= "0010100110";     -- TODO: comment
1051
                when "01111101110" => data <= "0011001001";     -- TODO: comment
1052
                when "01111101111" => data <= "0010000000";     -- TODO: comment
1053
                when "01111110000" => data <= "0001111101";     -- TODO: comment
1054
                when "01111110001" => data <= "0010000000";     -- TODO: comment
1055
                when "01111110010" => data <= "0010000000";     -- TODO: comment
1056
                when "01111110011" => data <= "0000001000";     -- TODO: comment
1057
                when "01111110100" => data <= "0010000001";     -- TODO: comment
1058
                when "01111110101" => data <= "0010000001";     -- TODO: comment
1059
                when "01111110110" => data <= "1011100000";     -- TODO: comment
1060
                when "01111110111" => data <= "0000000111";     -- TODO: comment
1061
                when "01111111000" => data <= "0000001001";     -- TODO: comment
1062
                when "01111111001" => data <= "0010000001";     -- TODO: comment
1063
                when "01111111010" => data <= "0010000001";     -- TODO: comment
1064
                when "01111111011" => data <= "1010000000";     -- TODO: comment
1065
                when "01111111100" => data <= "0000011010";     -- TODO: comment
1066
                when "01111111101" => data <= "0010000000";     -- TODO: comment
1067
                when "01111111110" => data <= "1011101101";     -- TODO: comment
1068
                when "01111111111" => data <= "0000011010";     -- TODO: comment
1069
                when "10000000000" => data <= "0010000000";     -- TODO: comment
1070
                when "10000000001" => data <= "1000010101";     -- TODO: comment
1071
                when "10000000010" => data <= "0011110000";     -- TODO: comment
1072
                when "10000000011" => data <= "0011001001";     -- TODO: comment
1073
                when "10000000100" => data <= "1000000100";     -- TODO: comment
1074
                when "10000000101" => data <= "0010000000";     -- TODO: comment
1075
                when "10000000110" => data <= "0000011011";     -- TODO: comment
1076
                when "10000000111" => data <= "0000000000";     -- TODO: comment
1077
                when "10000001000" => data <= "1000000000";     -- TODO: comment
1078
                when "10000001001" => data <= "1011110001";     -- TODO: comment
1079
                when "10000001010" => data <= "0000011000";     -- TODO: comment
1080
                when "10000001011" => data <= "1010000000";     -- TODO: comment
1081
                when "10000001100" => data <= "0011001010";     -- TODO: comment
1082
                when "10000001101" => data <= "0000000100";     -- TODO: comment
1083
                when "10000001110" => data <= "0000101000";     -- TODO: comment
1084
                when "10000001111" => data <= "0000001000";     -- TODO: comment
1085
                when "10000010000" => data <= "0000100111";     -- TODO: comment
1086
                when "10000010001" => data <= "0010000001";     -- TODO: comment
1087
                when "10000010010" => data <= "0010000001";     -- TODO: comment
1088
                when "10000010011" => data <= "0011100000";     -- TODO: comment
1089
                when "10000010100" => data <= "0000100110";     -- TODO: comment
1090
                when "10000010101" => data <= "0010101000";     -- TODO: comment
1091
                when "10000010110" => data <= "0011111000";     -- TODO: comment
1092
                when "10000010111" => data <= "0010100111";     -- TODO: comment
1093
                when "10000011000" => data <= "0000000100";     -- TODO: comment
1094
                when "10000011001" => data <= "0000011010";     -- TODO: comment
1095
                when "10000011010" => data <= "0011111000";     -- TODO: comment
1096
                when "10000011011" => data <= "0010100110";     -- TODO: comment
1097
                when "10000011100" => data <= "0000000100";     -- TODO: comment
1098
                when "10000011101" => data <= "0000000111";     -- TODO: comment
1099
                when "10000011110" => data <= "0011101101";     -- TODO: comment
1100
                when "10000011111" => data <= "0000001001";     -- TODO: comment
1101
                when "10000100000" => data <= "0011111000";     -- TODO: comment
1102
                when "10000100001" => data <= "0010000001";     -- TODO: comment
1103
                when "10000100010" => data <= "0010000001";     -- TODO: comment
1104
                when "10000100011" => data <= "0001111110";     -- TODO: comment
1105
                when "10000100100" => data <= "0011001010";     -- TODO: comment
1106
                when "10000100101" => data <= "0000000100";     -- TODO: comment
1107
                when "10000100110" => data <= "1000000000";     -- TODO: comment
1108
                when "10000100111" => data <= "0011001010";     -- TODO: comment
1109
                when "10000101000" => data <= "0000000100";     -- TODO: comment
1110
                when "10000101001" => data <= "0000101000";     -- TODO: comment
1111
                when "10000101010" => data <= "0000100111";     -- TODO: comment
1112
                when "10000101011" => data <= "0000001000";     -- TODO: comment
1113
                when "10000101100" => data <= "0010000001";     -- TODO: comment
1114
                when "10000101101" => data <= "0010000001";     -- TODO: comment
1115
                when "10000101110" => data <= "0011100000";     -- TODO: comment
1116
                when "10000101111" => data <= "0000100110";     -- TODO: comment
1117
                when "10000110000" => data <= "0010101000";     -- TODO: comment
1118
                when "10000110001" => data <= "0011111000";     -- TODO: comment
1119
                when "10000110010" => data <= "0010100110";     -- TODO: comment
1120
                when "10000110011" => data <= "0000000100";     -- TODO: comment
1121
                when "10000110100" => data <= "0000001000";     -- TODO: comment
1122
                when "10000110101" => data <= "0011111000";     -- TODO: comment
1123
                when "10000110110" => data <= "0010100111";     -- TODO: comment
1124
                when "10000110111" => data <= "0000000100";     -- TODO: comment
1125
                when "10000111000" => data <= "0000011010";     -- TODO: comment
1126
                when "10000111001" => data <= "0010000001";     -- TODO: comment
1127
                when "10000111010" => data <= "0010000001";     -- TODO: comment
1128
                when "10000111011" => data <= "0011100000";     -- TODO: comment
1129
                when "10000111100" => data <= "0000010101";     -- TODO: comment
1130
                when "10000111101" => data <= "0011111000";     -- TODO: comment
1131
                when "10000111110" => data <= "0010000000";     -- TODO: comment
1132
                when "10000111111" => data <= "0001111111";     -- TODO: comment
1133
                when "10001000000" => data <= "0011001010";     -- TODO: comment
1134
                when "10001000001" => data <= "0000000100";     -- TODO: comment
1135
                when "10001000010" => data <= "1000000000";     -- TODO: comment
1136
                when "10001000011" => data <= "0000001110";     -- TODO: comment
1137
                when "10001000100" => data <= "0000000000";     -- TODO: comment
1138
                when "10001000101" => data <= "0010000001";     -- TODO: comment
1139
                when "10001000110" => data <= "0010000001";     -- TODO: comment
1140
                when "10001000111" => data <= "1000000000";     -- TODO: comment
1141
                when "10001001000" => data <= "1010000000";     -- TODO: comment
1142
 
1143
                when others => data <= "0000000000";
1144
        end case;
1145
end process;
1146
 
1147
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.