OpenCores
URL https://opencores.org/ocsvn/the_wizardry_project/the_wizardry_project/trunk

Subversion Repositories the_wizardry_project

[/] [the_wizardry_project/] [trunk/] [Wizardry/] [VHDL/] [Wizardry Top Level/] [Address Generation/] [JOP/] [sc_pack.vhd] - Blame information for rev 22

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 22 mcwaccent
--
2
--
3
--  This file is a part of JOP, the Java Optimized Processor
4
--
5
--  Copyright (C) 2001-2008, Martin Schoeberl (martin@jopdesign.com)
6
--
7
--  This program is free software: you can redistribute it and/or modify
8
--  it under the terms of the GNU General Public License as published by
9
--  the Free Software Foundation, either version 3 of the License, or
10
--  (at your option) any later version.
11
--
12
--  This program is distributed in the hope that it will be useful,
13
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
14
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
15
--  GNU General Public License for more details.
16
--
17
--  You should have received a copy of the GNU General Public License
18
--  along with this program.  If not, see <http://www.gnu.org/licenses/>.
19
--
20
 
21
 
22
--
23
--      sc_pack.vhd
24
--
25
--      Package for SimpCon defines
26
--
27
--      Author: Martin Schoeberl (martin@jopdesign.com)
28
--      
29
--
30
--      2007-03-16  first version
31
--
32
--
33
 
34
library ieee;
35
use ieee.std_logic_1164.all;
36
use ieee.numeric_std.all;
37
 
38
package sc_pack is
39
 
40
        -- two more bits than needed for the main memory
41
        --    one to distinguishe between memory and IO access
42
        --    one more to allow memory mirroring for size auto
43
        --        detection at boot time
44
        constant SC_ADDR_SIZE : integer := 23;
45
        constant RDY_CNT_SIZE : integer := 2;
46
 
47
        type sc_out_type is record
48
                address         : std_logic_vector(SC_ADDR_SIZE-1 downto 0);
49
                wr_data         : std_logic_vector(31 downto 0);
50
                rd                      : std_logic;
51
                wr                      : std_logic;
52
                atomic  : std_logic;
53
        end record;
54
 
55
        type sc_in_type is record
56
                rd_data         : std_logic_vector(31 downto 0);
57
                rdy_cnt         : unsigned(RDY_CNT_SIZE-1 downto 0);
58
        end record;
59
 
60
        type sc_out_array_type is array (integer range <>) of sc_out_type;
61
        type sc_in_array_type is array (integer range <>) of sc_in_type;
62
 
63
end sc_pack;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.