OpenCores
URL https://opencores.org/ocsvn/the_wizardry_project/the_wizardry_project/trunk

Subversion Repositories the_wizardry_project

[/] [the_wizardry_project/] [trunk/] [Wizardry/] [VHDL/] [Wizardry Top Level/] [Address Generation/] [RDIC XILINX Bridge/] [RDIC_Xilinx_bridge.vhd] - Blame information for rev 22

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 22 mcwaccent
----------------------------------------------------------------------------------
2
--
3
--  This file is a part of Technica Corporation Wizardry Project
4
--
5
--  Copyright (C) 2004-2009, Technica Corporation  
6
--
7
--  This program is free software: you can redistribute it and/or modify
8
--  it under the terms of the GNU General Public License as published by
9
--  the Free Software Foundation, either version 3 of the License, or
10
--  (at your option) any later version.
11
--
12
--  This program is distributed in the hope that it will be useful,
13
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
14
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
15
--  GNU General Public License for more details.
16
--
17
--  You should have received a copy of the GNU General Public License
18
--  along with this program.  If not, see <http://www.gnu.org/licenses/>.
19
--
20
----------------------------------------------------------------------------------
21
----------------------------------------------------------------------------------
22
-- Module Name: XILINX_RDIC_Bridge - Structural 
23
-- Project Name: Wizardry
24
-- Target Devices: Virtex 4 ML401
25
-- Description: Translates between Xilinx mem contoller and RDIC.
26
-- Revision: 1.0
27
-- Additional Comments: 
28
--
29
----------------------------------------------------------------------------------
30
library IEEE;
31
use IEEE.STD_LOGIC_1164.ALL;
32
use IEEE.STD_LOGIC_ARITH.ALL;
33
use IEEE.STD_LOGIC_UNSIGNED.ALL;
34
 
35
---- Uncomment the following library declaration if instantiating
36
---- any Xilinx primitives in this code.
37
--library UNISIM;
38
--use UNISIM.VComponents.all;
39
 
40
entity RDIC_Xilinx_bridge is
41
    Port ( clock : in  STD_LOGIC;
42
           reset : in  STD_LOGIC;
43
                          fifo_empty_out : in std_Logic;
44
                          write_enable_out : in std_logic;
45
                          APP_AF_WREN   : out std_logic;
46
                                APP_WDF_WREN : out std_logic;
47
                                ack_access_in : out std_logic;
48
                                command : out std_logic_vector(2 downto 0);
49
                                mask : out std_logic_vector(7 downto 0));
50
end RDIC_Xilinx_bridge;
51
 
52
architecture Behavioral of RDIC_Xilinx_bridge is
53
 
54
type StateType_1 is (wait_arbitration,check_access_type,assert_write_enable,assert_read_enable,
55
                                                 acknowledge_access,wait_0, wait_1,wait_2,wait_3,wait_9,acknowledge_access_0,
56
                                                wait_10,wait_11,wait_12,wait_13,wait_14,wait_15, wait_16,
57
                                                check_W_A_fifo,check_A_fifo,assert_write_enable_1,assert_write_enable_2,wait_delay,
58
                                                wait_delay_1,wait_delay_2,wait_delay_3,wait_delay_4,assert_read_enable_0);
59
 
60
signal currentstate_1,nextstate_1 : statetype_1;
61
 
62
 
63
begin
64
 
65
 
66
process(currentstate_1,fifo_empty_out,write_enable_out)
67
begin
68
        case currentstate_1 is
69
                        when wait_arbitration =>
70
                                        if(fifo_empty_out = '0') then
71
                                                NextState_1 <= check_access_type;
72
                                        else
73
                                                NextState_1 <= wait_arbitration;
74
                                        end if;
75
 
76
 
77
--                              tx_pdata <= (others => '0');
78
--                              load_uart_char <= '0';
79
--                              read_uart_char <= '0';
80
--                              next_banner_char <= '0';
81
--                              load_banner_addres <= '0';
82
--                              banner_address <= (others => '0');
83
--                              cyc_o <= '0';
84
--                              stb_o <= '0';
85
--                              we_o <= '0';
86
--                              lock_o <= '0';
87
--                              leds <= "011111111";
88
                                APP_AF_WREN             <= '0';
89
                                APP_WDF_WREN            <= '0';
90
                                ack_access_in <= '0';
91
                                command <= "000";
92
--                              next_mem_location <= '0';
93
--                         load_new_addr <= '0';
94
--                         new_addr <= (others => '0');
95
                                mask <= "00000000";
96
 
97
 
98
                        when check_access_type =>
99
                                        if(write_enable_out = '1') then
100
                                                NextState_1 <= check_W_A_fifo;
101
                                        else
102
                                                NextState_1 <= check_A_fifo;
103
--                                      else
104
--                                              NextState <= check_A_fifo;
105
                                        end if;
106
 
107
 
108
--                              tx_pdata <= (others => '0');
109
--                              load_uart_char <= '0';
110
--                              read_uart_char <= '0';
111
--                              next_banner_char <= '0';
112
--                              load_banner_addres <= '0';
113
--                              banner_address <= (others => '0');
114
--                              cyc_o <= '0';
115
--                              stb_o <= '0';
116
--                              we_o <= '0';
117
--                              lock_o <= '0';
118
--                              leds <= "000011010";
119
                                APP_AF_WREN             <= '0';
120
                                APP_WDF_WREN            <= '0';
121
                                ack_access_in <= '0';
122
                                command <= "000";
123
--                              next_mem_location <= '0';
124
--                         load_new_addr <= '0';
125
--                         new_addr <= (others => '0');
126
                                mask <= "00000000";
127
 
128
                        when check_W_A_fifo =>
129
--                              if(WDF_ALMOST_FULL = '1' OR AF_ALMOST_FULL = '1') then -- may not need to check condition
130
--                                              NextState <= check_W_A_fifo;
131
--                              else
132
                                        NextState_1 <= assert_write_enable;
133
--                              end if;
134
 
135
 
136
--                              tx_pdata <= (others => '0');
137
--                              load_uart_char <= '0';
138
--                              read_uart_char <= '0';
139
--                              next_banner_char <= '0';
140
--                              load_banner_addres <= '0';
141
--                              banner_address <= (others => '0');
142
--                              cyc_o <= '0';
143
--                              stb_o <= '0';
144
--                              we_o <= '0';
145
--                              lock_o <= '0';
146
--                              leds <= "000011010";
147
                                APP_AF_WREN             <= '0';
148
                                APP_WDF_WREN            <= '0';
149
                                ack_access_in <= '0';
150
                                command <= "100";
151
--                              next_mem_location <= '0';
152
--                         load_new_addr <= '0';
153
--                         new_addr <= (others => '0');
154
                                mask <= "00000000";
155
 
156
                        when assert_write_enable =>
157
                                                NextState_1 <= assert_write_enable_1;
158
 
159
 
160
--                              tx_pdata <= (others => '0');
161
--                              load_uart_char <= '0';
162
--                              read_uart_char <= '0';
163
--                              next_banner_char <= '0';
164
--                              load_banner_addres <= '0';
165
--                              banner_address <= (others => '0');
166
--                              cyc_o <= '0';
167
--                              stb_o <= '0';
168
--                              we_o <= '0';
169
--                              lock_o <= '0';
170
--                              leds <= "000011010";
171
                                APP_AF_WREN             <= '1';
172
                                APP_WDF_WREN            <= '1';
173
                                ack_access_in <= '0';
174
                                command <= "100";
175
--                              next_mem_location <= '0';
176
--                         load_new_addr <= '0';
177
--                         new_addr <= (others => '0');
178
                                mask <= "00001111";
179
 
180
                        when assert_write_enable_1 =>
181
                                                NextState_1 <= assert_write_enable_2;
182
 
183
 
184
--                              tx_pdata <= (others => '0');
185
--                              load_uart_char <= '0';
186
--                              read_uart_char <= '0';
187
--                              next_banner_char <= '0';
188
--                              load_banner_addres <= '0';
189
--                              banner_address <= (others => '0');
190
--                              cyc_o <= '0';
191
--                              stb_o <= '0';
192
--                              we_o <= '0';
193
--                              lock_o <= '0';
194
--                              leds <= "000011010";
195
                                APP_AF_WREN             <= '0';
196
                                APP_WDF_WREN            <= '1';
197
                                ack_access_in <= '0';
198
                                command <= "100";
199
--                              next_mem_location <= '0';
200
--                         load_new_addr <= '0';
201
--                         new_addr <= (others => '0');
202
                                mask <= "11111111";
203
 
204
                        when assert_write_enable_2 =>
205
                                                NextState_1 <= acknowledge_access_0;
206
--                                              NextState_1 <= wait_9;
207
 
208
 
209
--                              tx_pdata <= (others => '0');
210
--                              load_uart_char <= '0';
211
--                              read_uart_char <= '0';
212
--                              next_banner_char <= '0';
213
--                              load_banner_addres <= '0';
214
--                              banner_address <= (others => '0');
215
--                              cyc_o <= '0';
216
--                              stb_o <= '0';
217
--                              we_o <= '0';
218
--                              lock_o <= '0';
219
--                              leds <= "000011010";
220
                                APP_AF_WREN             <= '0';
221
                                APP_WDF_WREN            <= '0';
222
                                ack_access_in <= '0';
223
                                command <= "100";
224
--                              next_mem_location <= '0';
225
--                         load_new_addr <= '0';
226
--                         new_addr <= (others => '0');
227
                                mask <= "00000000";
228
 
229
                        when check_A_fifo =>
230
--                              if(AF_ALMOST_FULL = '1') then -- may not need to check condition
231
--                                              NextState <= check_A_fifo;
232
--                              else
233
                                        NextState_1 <= assert_read_enable;
234
--                              end if;
235
 
236
 
237
--                              tx_pdata <= (others => '0');
238
--                              load_uart_char <= '0';
239
--                              read_uart_char <= '0';
240
--                              next_banner_char <= '0';
241
--                              load_banner_addres <= '0';
242
--                              banner_address <= (others => '0');
243
--                              cyc_o <= '0';
244
--                              stb_o <= '0';
245
--                              we_o <= '0';
246
--                              lock_o <= '0';
247
--                              leds <= "000011010";
248
                                APP_AF_WREN             <= '0';
249
                                APP_WDF_WREN            <= '0';
250
                                ack_access_in <= '0';
251
                                command <= "101";
252
--                              next_mem_location <= '0';
253
--                         load_new_addr <= '0';
254
--                         new_addr <= (others => '0');
255
                                mask <= "00000000";
256
 
257
                        when assert_read_enable =>
258
--                                      NextState_1 <= assert_read_enable_0;
259
--                                      NextState_1 <= wait_0;
260
                                        NextState_1 <= acknowledge_access;
261
 
262
 
263
--                              tx_pdata <= (others => '0');
264
--                              load_uart_char <= '0';
265
--                              read_uart_char <= '0';
266
--                              next_banner_char <= '0';
267
--                              load_banner_addres <= '0';
268
--                              banner_address <= (others => '0');
269
--                              cyc_o <= '0';
270
--                              stb_o <= '0';
271
--                              we_o <= '0';
272
--                              lock_o <= '0';
273
--                              leds <= "000011010";
274
                                APP_AF_WREN             <= '1';
275
                                APP_WDF_WREN            <= '0';
276
                                ack_access_in <= '0';
277
                                command <= "101";
278
--                              next_mem_location <= '0';
279
--                         load_new_addr <= '0';
280
--                         new_addr <= (others => '0');
281
                                mask <= "00000000";
282
 
283
-------Added February 6, 2008
284
--              when assert_read_enable_0 =>
285
--                                      NextState_1 <= wait_0;
286
--                                      
287
--                              
288
----                            tx_pdata <= (others => '0');
289
----                            load_uart_char <= '0';
290
----                            read_uart_char <= '0';
291
----                            next_banner_char <= '0';
292
----                            load_banner_addres <= '0';
293
----                            banner_address <= (others => '0');
294
----                            cyc_o <= '0';
295
----                            stb_o <= '0';
296
----                            we_o <= '0';
297
----                            lock_o <= '0';
298
----                            leds <= "000011010";
299
--                              APP_AF_WREN             <= '1';
300
--                              APP_WDF_WREN            <= '0';
301
--                              ack_access_in <= '0';
302
--                              command <= "101";
303
----                            next_mem_location <= '0';
304
----                       load_new_addr <= '0';
305
----                       new_addr <= (others => '0');
306
--                              mask <= "00000000";
307
--------------------------------------------------
308
 
309
 
310
                        when wait_0 =>
311
                                                NextState_1 <= wait_1;
312
 
313
 
314
--                              tx_pdata <= (others => '0');
315
--                              load_uart_char <= '0';
316
--                              read_uart_char <= '0';
317
--                              next_banner_char <= '0';
318
--                              load_banner_addres <= '0';
319
--                              banner_address <= (others => '0');
320
--                              cyc_o <= '0';
321
--                              stb_o <= '0';
322
--                              we_o <= '0';
323
--                              lock_o <= '0';
324
--                              leds <= "000011010";
325
                                APP_AF_WREN             <= '0';
326
                                APP_WDF_WREN            <= '0';
327
                                ack_access_in <= '0';
328
                                command <= "000";
329
--                              next_mem_location <= '0';
330
--                         load_new_addr <= '0';
331
--                         new_addr <= (others => '0');
332
                                mask <= "00000000";
333
 
334
                        when wait_1 =>
335
                                        NextState_1 <= wait_2;
336
 
337
 
338
--                              tx_pdata <= (others => '0');
339
--                              load_uart_char <= '0';
340
--                              read_uart_char <= '0';
341
--                              next_banner_char <= '0';
342
--                              load_banner_addres <= '0';
343
--                              banner_address <= (others => '0');
344
--                              cyc_o <= '0';
345
--                              stb_o <= '0';
346
--                              we_o <= '0';
347
--                              lock_o <= '0';
348
--                              leds <= "000011010";
349
                                APP_AF_WREN             <= '0';
350
                                APP_WDF_WREN            <= '0';
351
                                ack_access_in <= '0';
352
                                command <= "000";
353
--                              next_mem_location <= '0';
354
--                         load_new_addr <= '0';
355
--                         new_addr <= (others => '0');
356
                                mask <= "00000000";
357
 
358
                        when wait_2 =>
359
                                        NextState_1 <= wait_3;
360
 
361
 
362
--                              tx_pdata <= (others => '0');
363
--                              load_uart_char <= '0';
364
--                              read_uart_char <= '0';
365
--                              next_banner_char <= '0';
366
--                              load_banner_addres <= '0';
367
--                              banner_address <= (others => '0');
368
--                              cyc_o <= '0';
369
--                              stb_o <= '0';
370
--                              we_o <= '0';
371
--                              lock_o <= '0';
372
--                              leds <= "000011010";
373
                                APP_AF_WREN             <= '0';
374
                                APP_WDF_WREN            <= '0';
375
                                ack_access_in <= '0';
376
                                command <= "000";
377
--                              next_mem_location <= '0';
378
--                         load_new_addr <= '0';
379
--                         new_addr <= (others => '0');
380
                                mask <= "00000000";
381
 
382
                        when wait_3 =>
383
                                        NextState_1 <= acknowledge_access;
384
 
385
 
386
--                              tx_pdata <= (others => '0');
387
--                              load_uart_char <= '0';
388
--                              read_uart_char <= '0';
389
--                              next_banner_char <= '0';
390
--                              load_banner_addres <= '0';
391
--                              banner_address <= (others => '0');
392
--                              cyc_o <= '0';
393
--                              stb_o <= '0';
394
--                              we_o <= '0';
395
--                              lock_o <= '0';
396
--                              leds <= "000011010";
397
                                APP_AF_WREN             <= '0';
398
                                APP_WDF_WREN            <= '0';
399
                                ack_access_in <= '0';
400
                                command <= "000";
401
--                              next_mem_location <= '0';
402
--                         load_new_addr <= '0';
403
--                         new_addr <= (others => '0');
404
                                mask <= "00000000";
405
 
406
                        when acknowledge_access =>
407
                                        NextState_1 <= wait_delay;
408
 
409
--                              
410
--                              tx_pdata <= (others => '0');
411
--                              load_uart_char <= '0';
412
--                              read_uart_char <= '0';
413
--                              next_banner_char <= '0';
414
--                              load_banner_addres <= '0';
415
--                              banner_address <= (others => '0');
416
--                              cyc_o <= '0';
417
--                              stb_o <= '0';
418
--                              we_o <= '0';
419
--                              lock_o <= '0';
420
--                              leds <= "000011010";
421
                                APP_AF_WREN             <= '0';
422
                                APP_WDF_WREN            <= '0';
423
                                ack_access_in <= '1';
424
                                command <= "000";
425
--                              next_mem_location <= '0';
426
--                         load_new_addr <= '0';
427
--                         new_addr <= (others => '0');
428
                                mask <= "00000000";
429
 
430
 
431
                        when wait_delay =>
432
                                        Nextstate_1 <= wait_delay_1;
433
--                              tx_pdata <= (others => '0');
434
--                              load_uart_char <= '0';
435
--                              read_uart_char <= '0';
436
--                              next_banner_char <= '0';
437
--                              load_banner_addres <= '0';
438
--                              banner_address <= (others => '0');
439
--                              cyc_o <= '0';
440
--                              stb_o <= '0';
441
--                              we_o <= '0';
442
--                              lock_o <= '0';
443
--                              leds <= "000011010";
444
                                APP_AF_WREN             <= '0';
445
                                APP_WDF_WREN            <= '0';
446
                                ack_access_in <= '0';
447
                                command <= "000";
448
--                              next_mem_location <= '0';
449
--                         load_new_addr <= '0';
450
--                         new_addr <= (others => '0');
451
                                mask <= "00000000";
452
 
453
                        when wait_delay_1 =>
454
                                        Nextstate_1 <= wait_delay_2;
455
--                              tx_pdata <= (others => '0');
456
--                              load_uart_char <= '0';
457
--                              read_uart_char <= '0';
458
--                              next_banner_char <= '0';
459
--                              load_banner_addres <= '0';
460
--                              banner_address <= (others => '0');
461
--                              cyc_o <= '0';
462
--                              stb_o <= '0';
463
--                              we_o <= '0';
464
--                              lock_o <= '0';
465
--                              leds <= "000011010";
466
                                APP_AF_WREN             <= '0';
467
                                APP_WDF_WREN            <= '0';
468
                                ack_access_in <= '0';
469
                                command <= "000";
470
--                              next_mem_location <= '0';
471
--                         load_new_addr <= '0';
472
--                         new_addr <= (others => '0');
473
                                mask <= "00000000";
474
 
475
                        when wait_delay_2 =>
476
                                        Nextstate_1 <= wait_delay_3;
477
--                              tx_pdata <= (others => '0');
478
--                              load_uart_char <= '0';
479
--                              read_uart_char <= '0';
480
--                              next_banner_char <= '0';
481
--                              load_banner_addres <= '0';
482
--                              banner_address <= (others => '0');
483
--                              cyc_o <= '0';
484
--                              stb_o <= '0';
485
--                              we_o <= '0';
486
--                              lock_o <= '0';
487
--                              leds <= "000011010";
488
                                APP_AF_WREN             <= '0';
489
                                APP_WDF_WREN            <= '0';
490
                                ack_access_in <= '0';
491
                                command <= "000";
492
--                              next_mem_location <= '0';
493
--                         load_new_addr <= '0';
494
--                         new_addr <= (others => '0');
495
                                mask <= "00000000";
496
 
497
                        when wait_delay_3 =>
498
                                        Nextstate_1 <= wait_delay_4;
499
--                              tx_pdata <= (others => '0');
500
--                              load_uart_char <= '0';
501
--                              read_uart_char <= '0';
502
--                              next_banner_char <= '0';
503
--                              load_banner_addres <= '0';
504
--                              banner_address <= (others => '0');
505
--                              cyc_o <= '0';
506
--                              stb_o <= '0';
507
--                              we_o <= '0';
508
--                              lock_o <= '0';
509
--                              leds <= "000011010";
510
                                APP_AF_WREN             <= '0';
511
                                APP_WDF_WREN            <= '0';
512
                                ack_access_in <= '0';
513
                                command <= "000";
514
--                              next_mem_location <= '0';
515
--                         load_new_addr <= '0';
516
--                         new_addr <= (others => '0');
517
                                mask <= "00000000";
518
 
519
                                when wait_delay_4 =>
520
                                        Nextstate_1 <= wait_arbitration;
521
--                              tx_pdata <= (others => '0');
522
--                              load_uart_char <= '0';
523
--                              read_uart_char <= '0';
524
--                              next_banner_char <= '0';
525
--                              load_banner_addres <= '0';
526
--                              banner_address <= (others => '0');
527
--                              cyc_o <= '0';
528
--                              stb_o <= '0';
529
--                              we_o <= '0';
530
--                              lock_o <= '0';
531
--                              leds <= "000011010";
532
                                APP_AF_WREN             <= '0';
533
                                APP_WDF_WREN            <= '0';
534
                                ack_access_in <= '0';
535
                                command <= "000";
536
--                              next_mem_location <= '0';
537
--                         load_new_addr <= '0';
538
--                         new_addr <= (others => '0');
539
                                mask <= "00000000";
540
 
541
                        when wait_9 =>
542
                                                NextState_1 <= wait_10;
543
 
544
 
545
--                              tx_pdata <= (others => '0');
546
--                              load_uart_char <= '0';
547
--                              read_uart_char <= '0';
548
--                              next_banner_char <= '0';
549
--                              load_banner_addres <= '0';
550
--                              banner_address <= (others => '0');
551
--                              cyc_o <= '0';
552
--                              stb_o <= '0';
553
--                              we_o <= '0';
554
--                              lock_o <= '0';
555
--                              leds <= "000011010";
556
                                APP_AF_WREN             <= '0';
557
                                APP_WDF_WREN            <= '0';
558
                                ack_access_in <= '0';
559
                                command <= "000";
560
--                              next_mem_location <= '0';
561
--                         load_new_addr <= '0';
562
--                         new_addr <= (others => '0');
563
                                mask <= "00000000";
564
 
565
                        when wait_10 =>
566
                                        NextState_1 <= wait_11;
567
 
568
 
569
--                              tx_pdata <= (others => '0');
570
--                              load_uart_char <= '0';
571
--                              read_uart_char <= '0';
572
--                              next_banner_char <= '0';
573
--                              load_banner_addres <= '0';
574
--                              banner_address <= (others => '0');
575
--                              cyc_o <= '0';
576
--                              stb_o <= '0';
577
--                              we_o <= '0';
578
--                              lock_o <= '0';
579
--                              leds <= "000011010";
580
                                APP_AF_WREN             <= '0';
581
                                APP_WDF_WREN            <= '0';
582
                                ack_access_in <= '0';
583
                                command <= "000";
584
--                              next_mem_location <= '0';
585
--                         load_new_addr <= '0';
586
--                         new_addr <= (others => '0');
587
                                mask <= "00000000";
588
 
589
                        when wait_11 =>
590
                                        NextState_1 <= wait_12;
591
 
592
 
593
--                              tx_pdata <= (others => '0');
594
--                              load_uart_char <= '0';
595
--                              read_uart_char <= '0';
596
--                              next_banner_char <= '0';
597
--                              load_banner_addres <= '0';
598
--                              banner_address <= (others => '0');
599
--                              cyc_o <= '0';
600
--                              stb_o <= '0';
601
--                              we_o <= '0';
602
--                              lock_o <= '0';
603
--                              leds <= "000011010";
604
                                APP_AF_WREN             <= '0';
605
                                APP_WDF_WREN            <= '0';
606
                                ack_access_in <= '0';
607
                                command <= "000";
608
--                              next_mem_location <= '0';
609
--                         load_new_addr <= '0';
610
--                         new_addr <= (others => '0');
611
                                mask <= "00000000";
612
 
613
                        when wait_12 =>
614
                                        NextState_1 <= acknowledge_access_0;
615
 
616
 
617
--                              tx_pdata <= (others => '0');
618
--                              load_uart_char <= '0';
619
--                              read_uart_char <= '0';
620
--                              next_banner_char <= '0';
621
--                              load_banner_addres <= '0';
622
--                              banner_address <= (others => '0');
623
--                              cyc_o <= '0';
624
--                              stb_o <= '0';
625
--                              we_o <= '0';
626
--                              lock_o <= '0';
627
--                              leds <= "000011010";
628
                                APP_AF_WREN             <= '0';
629
                                APP_WDF_WREN            <= '0';
630
                                ack_access_in <= '0';
631
                                command <= "000";
632
--                              next_mem_location <= '0';
633
--                         load_new_addr <= '0';
634
--                         new_addr <= (others => '0');
635
                                mask <= "00000000";
636
 
637
                        when acknowledge_access_0 =>
638
                                        NextState_1 <= wait_13;
639
 
640
 
641
--                              tx_pdata <= (others => '0');
642
--                              load_uart_char <= '0';
643
--                              read_uart_char <= '0';
644
--                              next_banner_char <= '0';
645
--                              load_banner_addres <= '0';
646
--                              banner_address <= (others => '0');
647
--                              cyc_o <= '0';
648
--                              stb_o <= '0';
649
--                              we_o <= '0';
650
--                              lock_o <= '0';
651
--                              leds <= "000011010";
652
                                APP_AF_WREN             <= '0';
653
                                APP_WDF_WREN            <= '0';
654
                                ack_access_in <= '1';
655
                                command <= "000";
656
--                              next_mem_location <= '0';
657
--                         load_new_addr <= '0';
658
--                         new_addr <= (others => '0');
659
                                mask <= "00000000";
660
 
661
                        when wait_13 =>
662
                                        NextState_1 <= wait_14;
663
 
664
 
665
--                              tx_pdata <= (others => '0');
666
--                              load_uart_char <= '0';
667
--                              read_uart_char <= '0';
668
--                              next_banner_char <= '0';
669
--                              load_banner_addres <= '0';
670
--                              banner_address <= (others => '0');
671
--                              cyc_o <= '0';
672
--                              stb_o <= '0';
673
--                              we_o <= '0';
674
--                              lock_o <= '0';
675
--                              leds <= "000011010";
676
                                APP_AF_WREN             <= '0';
677
                                APP_WDF_WREN            <= '0';
678
                                ack_access_in <= '0';
679
                                command <= "000";
680
--                              next_mem_location <= '0';
681
--                         load_new_addr <= '0';
682
--                         new_addr <= (others => '0');
683
                                mask <= "00000000";
684
 
685
                        when wait_14 =>
686
                                        NextState_1 <= wait_15;
687
 
688
 
689
--                              tx_pdata <= (others => '0');
690
--                              load_uart_char <= '0';
691
--                              read_uart_char <= '0';
692
--                              next_banner_char <= '0';
693
--                              load_banner_addres <= '0';
694
--                              banner_address <= (others => '0');
695
--                              cyc_o <= '0';
696
--                              stb_o <= '0';
697
--                              we_o <= '0';
698
--                              lock_o <= '0';
699
--                              leds <= "000011010";
700
                                APP_AF_WREN             <= '0';
701
                                APP_WDF_WREN            <= '0';
702
                                ack_access_in <= '0';
703
                                command <= "000";
704
--                              next_mem_location <= '0';
705
--                         load_new_addr <= '0';
706
--                         new_addr <= (others => '0');
707
                                mask <= "00000000";
708
 
709
                        when wait_15 =>
710
                                        NextState_1 <= wait_16;
711
 
712
 
713
--                              tx_pdata <= (others => '0');
714
--                              load_uart_char <= '0';
715
--                              read_uart_char <= '0';
716
--                              next_banner_char <= '0';
717
--                              load_banner_addres <= '0';
718
--                              banner_address <= (others => '0');
719
--                              cyc_o <= '0';
720
--                              stb_o <= '0';
721
--                              we_o <= '0';
722
--                              lock_o <= '0';
723
--                              leds <= "000011010";
724
                                APP_AF_WREN             <= '0';
725
                                APP_WDF_WREN            <= '0';
726
                                ack_access_in <= '0';
727
                                command <= "000";
728
--                              next_mem_location <= '0';
729
--                         load_new_addr <= '0';
730
--                         new_addr <= (others => '0');
731
                                mask <= "00000000";
732
 
733
                        when wait_16 =>
734
 
735
                                                NextState_1 <= wait_arbitration;
736
 
737
 
738
--                              tx_pdata <= (others => '0');
739
--                              load_uart_char <= '0';
740
--                              read_uart_char <= '0';
741
--                              next_banner_char <= '0';
742
--                              load_banner_addres <= '0';
743
--                              banner_address <= (others => '0');
744
--                              cyc_o <= '0';
745
--                              stb_o <= '0';
746
--                              we_o <= '0';
747
--                              lock_o <= '0';
748
--                              leds <= "000011010";
749
                                APP_AF_WREN             <= '0';
750
                                APP_WDF_WREN            <= '0';
751
                                ack_access_in <= '0';
752
                                command <= "000";
753
--                              next_mem_location <= '0';
754
--                         load_new_addr <= '0';
755
--                         new_addr <= (others => '0');
756
                                mask <= "00000000";
757
 
758
                                when others =>
759
                                        Nextstate_1 <= wait_arbitration;
760
--                              tx_pdata <= (others => '0');
761
--                              load_uart_char <= '0';
762
--                              read_uart_char <= '0';
763
--                              next_banner_char <= '0';
764
--                              load_banner_addres <= '0';
765
--                              banner_address <= (others => '0');
766
--                              cyc_o <= '0';
767
--                              stb_o <= '0';
768
--                              we_o <= '0';
769
--                              lock_o <= '0';
770
--                              leds <= "000011010";
771
                                APP_AF_WREN             <= '0';
772
                                APP_WDF_WREN            <= '0';
773
                                ack_access_in <= '0';
774
                                command <= "000";
775
--                              next_mem_location <= '0';
776
--                         load_new_addr <= '0';
777
--                         new_addr <= (others => '0');
778
                                mask <= "00000000";
779
 
780
                end case;
781
        end process;
782
 
783
currentstate_1logic: process
784
        begin
785
                        wait until clock'EVENT and clock = '1'; --WAIT FOR RISING EDGE
786
                        -- INITIALIZATION
787
                        if (Reset = '1') then
788
                                CurrentState_1 <= wait_arbitration;
789
                        else
790
                                CurrentState_1 <= NextState_1;
791
                        end if;
792
end process currentstate_1logic;
793
 
794
 
795
end Behavioral;
796
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.