OpenCores
URL https://opencores.org/ocsvn/the_wizardry_project/the_wizardry_project/trunk

Subversion Repositories the_wizardry_project

[/] [the_wizardry_project/] [trunk/] [Wizardry/] [VHDL/] [Wizardry Top Level/] [Memory Design/] [MIG_top_00/] [MIG_iobs_0/] [MIG_controller_iobs_0.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 24 mcwaccent
-------------------------------------------------------------------------------
2
-- Copyright (c) 2005-2007 Xilinx, Inc.
3
-- This design is confidential and proprietary of Xilinx, All Rights Reserved.
4
-------------------------------------------------------------------------------
5
--   ____  ____
6
--  /   /\/   /
7
-- /___/  \  /   Vendor             : Xilinx
8
-- \   \   \/    Version            : $Name: i+IP+131489 $
9
--  \   \        Application        : MIG
10
--  /   /        Filename           : MIG_controller_iobs_0.vhd
11
-- /___/   /\    Date Last Modified : $Date: 2007/09/21 15:23:24 $
12
-- \   \  /  \   Date Created       : Mon May 2 2005
13
--  \___\/\___\
14
--
15
-- Device      : Virtex-4
16
-- Design Name : DDR SDRAM
17
-- Description: Puts the memory control signals like address, bank address, row
18
--              address strobe, column address strobe, write enable and clock
19
--              enable in the IOBs.
20
-------------------------------------------------------------------------------
21
 
22
library ieee;
23
use ieee.std_logic_1164.all;
24
use work.MIG_parameters_0.all;
25
library UNISIM;
26
use UNISIM.vcomponents.all;
27
 
28
entity MIG_controller_iobs_0 is
29
  port (
30
    ctrl_ddr_address : in  std_logic_vector((ROW_ADDRESS - 1) downto 0);
31
    ctrl_ddr_ba      : in  std_logic_vector((BANK_ADDRESS - 1) downto 0);
32
    ctrl_ddr_ras_l   : in  std_logic;
33
    ctrl_ddr_cas_l   : in  std_logic;
34
    ctrl_ddr_we_l    : in  std_logic;
35
    ctrl_ddr_cs_l    : in  std_logic;
36
    ctrl_ddr_cke     : in  std_logic;
37
    ddr_address      : out std_logic_vector((ROW_ADDRESS - 1) downto 0);
38
    ddr_ba           : out std_logic_vector((BANK_ADDRESS - 1) downto 0);
39
    ddr_ras_l        : out std_logic;
40
    ddr_cas_l        : out std_logic;
41
    ddr_we_l         : out std_logic;
42
    ddr_cke          : out std_logic;
43
    ddr_cs_l         : out std_logic
44
    );
45
end MIG_controller_iobs_0;
46
 
47
architecture arch of MIG_controller_iobs_0 is
48
  attribute syn_useioff : boolean ;
49
 
50
begin
51
 
52
  r0 : OBUF
53
    port map(
54
      I => ctrl_ddr_ras_l,
55
      O => ddr_ras_l
56
      );
57
 
58
  r1 : OBUF
59
    port map(
60
      I => ctrl_ddr_cas_l,
61
      O => ddr_cas_l
62
      );
63
 
64
  r2 : OBUF
65
    port map(
66
      I => ctrl_ddr_we_l,
67
      O => ddr_we_l
68
      );
69
 
70
 
71
  OBUF_cs0 : OBUF
72
    port map(
73
      I => ctrl_ddr_cs_l,
74
      O => ddr_cs_l
75
      );
76
 
77
 
78
  OBUF_cke0 : OBUF
79
    port map(
80
      I => ctrl_ddr_cke,
81
      O => ddr_cke
82
      );
83
 
84
 
85
  gen_row: for row_i in 0 to ROW_ADDRESS-1 generate
86
    attribute syn_useioff of obuf_r : label is true;
87
  begin
88
    obuf_r: OBUF
89
    port map(
90
          I => ctrl_ddr_address(row_i),
91
          O => ddr_address(row_i)
92
          );
93
  end generate;
94
 
95
  gen_bank: for bank_i in 0 to BANK_ADDRESS-1 generate
96
    attribute syn_useioff of obuf_bank : label is true;
97
  begin
98
    obuf_bank: OBUF
99
    port map(
100
          I => ctrl_ddr_ba(bank_i),
101
          O => ddr_ba(bank_i)
102
          );
103
  end generate;
104
 
105
end arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.