OpenCores
URL https://opencores.org/ocsvn/the_wizardry_project/the_wizardry_project/trunk

Subversion Repositories the_wizardry_project

[/] [the_wizardry_project/] [trunk/] [Wizardry/] [VHDL/] [Wizardry Top Level/] [Memory Design/] [MIG_top_00/] [MIG_iobs_0/] [MIG_data_path_iobs/] [MIG_v4_dm_iob.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 24 mcwaccent
-------------------------------------------------------------------------------
2
-- Copyright (c) 2005-2007 Xilinx, Inc.
3
-- This design is confidential and proprietary of Xilinx, All Rights Reserved.
4
-------------------------------------------------------------------------------
5
--   ____  ____
6
--  /   /\/   /
7
-- /___/  \  /   Vendor             : Xilinx
8
-- \   \   \/    Version            : $Name: i+IP+131489 $
9
--  \   \        Application        : MIG
10
--  /   /        Filename           : MIG_v4_dm_iob.vhd
11
-- /___/   /\    Date Last Modified : $Date: 2007/09/21 15:23:25 $
12
-- \   \  /  \   Date Created       : Mon May 2 2005
13
--  \___\/\___\
14
--
15
-- Device      : Virtex-4
16
-- Design Name : DDR SDRAM
17
-- Description: Places the data mask signals into the IOBs.
18
-------------------------------------------------------------------------------
19
 
20
library ieee;
21
use ieee.std_logic_1164.all;
22
library UNISIM;
23
use UNISIM.vcomponents.all;
24
 
25
entity MIG_v4_dm_iob is
26
  port(
27
    clk90          : in  std_logic;
28
    mask_data_rise : in  std_logic;
29
    mask_data_fall : in  std_logic;
30
    ddr_dm         : out std_logic
31
    );
32
end MIG_v4_dm_iob;
33
 
34
architecture arch of MIG_v4_dm_iob is
35
 
36
  signal vcc       : std_logic;
37
  signal gnd       : std_logic;
38
  signal data_mask : std_logic;
39
 
40
begin
41
 
42
  vcc <= '1';
43
  gnd <= '0';
44
 
45
  oddr_dm : ODDR
46
    generic map(
47
      SRTYPE       => "SYNC",
48
      DDR_CLK_EDGE => "SAME_EDGE"
49
      )
50
    port map(
51
      Q  => data_mask,
52
      C  => clk90,
53
      CE => vcc,
54
      D1 => mask_data_rise,
55
      D2 => mask_data_fall,
56
      R  => gnd,
57
      S  => gnd
58
      );
59
 
60
  DM_OBUF : OBUF
61
    port map (
62
      I => data_mask,
63
      O => ddr_dm
64
      );
65
 
66
 
67
end arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.