OpenCores
URL https://opencores.org/ocsvn/the_wizardry_project/the_wizardry_project/trunk

Subversion Repositories the_wizardry_project

[/] [the_wizardry_project/] [trunk/] [Wizardry/] [VHDL/] [Wizardry Top Level/] [Memory Design/] [MIG_top_00/] [MIG_iobs_0/] [MIG_infrastructure_iobs_0.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 24 mcwaccent
-------------------------------------------------------------------------------
2
-- Copyright (c) 2005-2007 Xilinx, Inc.
3
-- This design is confidential and proprietary of Xilinx, All Rights Reserved.
4
-------------------------------------------------------------------------------
5
--   ____  ____
6
--  /   /\/   /
7
-- /___/  \  /   Vendor             : Xilinx
8
-- \   \   \/    Version            : $Name: i+IP+131489 $
9
--  \   \        Application        : MIG
10
--  /   /        Filename           : MIG_infrastructure_iobs_0.vhd
11
-- /___/   /\    Date Last Modified : $Date: 2007/09/21 15:23:24 $
12
-- \   \  /  \   Date Created       : Mon May 2 2005
13
--  \___\/\___\
14
--
15
-- Device      : Virtex-4
16
-- Design Name : DDR SDRAM
17
-- Description: The DDR memory clocks are generated here using the differential
18
--              buffers and the ODDR elemnts in the IOBs.
19
-------------------------------------------------------------------------------
20
 
21
library ieee;
22
use ieee.std_logic_1164.all;
23
use work.MIG_parameters_0.all;
24
library UNISIM;
25
use UNISIM.vcomponents.all;
26
 
27
entity MIG_infrastructure_iobs_0 is
28
  port(
29
    clk      : in  std_logic;
30
    ddr_ck   : out std_logic_vector((CLK_WIDTH - 1) downto 0);
31
    ddr_ck_n : out std_logic_vector((CLK_WIDTH - 1) downto 0)
32
    );
33
end MIG_infrastructure_iobs_0;
34
 
35
architecture arch of MIG_infrastructure_iobs_0 is
36
 
37
 
38
  signal ddr_ck_q   : std_logic_vector((CLK_WIDTH - 1) downto 0);
39
  signal vcc        : std_logic;
40
  signal gnd        : std_logic;
41
 
42
begin
43
 
44
  vcc <= '1';
45
  gnd <= '0';
46
 
47
  gen_ck: for ck_i in 0 to CLK_WIDTH-1 generate
48
    u_oddr_ck_i : ODDR
49
      generic map (
50
        srtype        => "SYNC",
51
        ddr_clk_edge  => "OPPOSITE_EDGE"
52
      )
53
      port map (
54
        q   => ddr_ck_q(ck_i),
55
        c   => clk,
56
        ce  => vcc,
57
        d1  => gnd,
58
        d2  => vcc,
59
        r   => gnd,
60
        s   => gnd
61
      );
62
 
63
    u_obuf_ck_i : OBUFDS
64
      port map (
65
        i   => ddr_ck_q(ck_i),
66
        o   => ddr_ck(ck_i),
67
        ob  => ddr_ck_n(ck_i)
68
      );
69
  end generate;
70
 
71
end arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.