OpenCores
URL https://opencores.org/ocsvn/the_wizardry_project/the_wizardry_project/trunk

Subversion Repositories the_wizardry_project

[/] [the_wizardry_project/] [trunk/] [Wizardry/] [VHDL/] [Wizardry Top Level/] [Memory Design/] [MIG_top_00/] [MIG_user_interface_0/] [MIG_rd_data_0/] [MIG_rd_data_fifo_0/] [MIG_ram_d_0.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 24 mcwaccent
-------------------------------------------------------------------------------
2
-- Copyright (c) 2005-2007 Xilinx, Inc.
3
-- This design is confidential and proprietary of Xilinx, All Rights Reserved.
4
-------------------------------------------------------------------------------
5
--   ____  ____
6
--  /   /\/   /
7
-- /___/  \  /   Vendor             : Xilinx
8
-- \   \   \/    Version            : $Name: i+IP+131489 $
9
--  \   \        Application        : MIG
10
--  /   /        Filename           : MIG_RAM_D_.vhd
11
-- /___/   /\    Date Last Modified : $Date: 2007/09/21 15:23:24 $
12
-- \   \  /  \   Date Created       : Mon May 2 2005
13
--  \___\/\___\
14
--
15
-- Device      : Virtex-4
16
-- Design Name : DDR SDRAM
17
-- Description: Contains the distributed RAM which stores IOB output data that
18
--              is read from the memory.
19
-------------------------------------------------------------------------------
20
 
21
library ieee;
22
use ieee.std_logic_1164.all;
23
use work.MIG_parameters_0.all;
24
library UNISIM;
25
use UNISIM.vcomponents.all;
26
 
27
entity MIG_RAM_D_0 is
28
  port(
29
    dpo   : out std_logic_vector(MEMORY_WIDTH - 1 downto 0);
30
    a0    : in  std_logic;
31
    a1    : in  std_logic;
32
    a2    : in  std_logic;
33
    a3    : in  std_logic;
34
    d     : in  std_logic_vector(MEMORY_WIDTH - 1 downto 0);
35
    dpra0 : in  std_logic;
36
    dpra1 : in  std_logic;
37
    dpra2 : in  std_logic;
38
    dpra3 : in  std_logic;
39
    wclk  : in  std_logic;
40
    we    : in  std_logic
41
    );
42
end MIG_RAM_D_0;
43
 
44
architecture arch of MIG_RAM_D_0 is
45
 
46
begin
47
 
48
  gen_ram_d: for ram_d_i in 0 to MEMORY_WIDTH-1 generate
49
    RAM16X1D_inst: RAM16X1D
50
    port map (
51
          DPO   => dpo(ram_d_i),
52
          SPO   => open,
53
          A0    => a0,
54
          A1    => a1,
55
          A2    => a2,
56
          A3    => a3,
57
          D     => d(ram_d_i),
58
          DPRA0 => dpra0,
59
          DPRA1 => dpra1,
60
          DPRA2 => dpra2,
61
          DPRA3 => dpra3,
62
          WCLK  => wclk,
63
          WE    => we
64
        );
65
  end generate;
66
 
67
 
68
end arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.