OpenCores
URL https://opencores.org/ocsvn/the_wizardry_project/the_wizardry_project/trunk

Subversion Repositories the_wizardry_project

[/] [the_wizardry_project/] [trunk/] [Wizardry/] [VHDL/] [Wizardry Top Level/] [Memory Design/] [MIG_top_00/] [MIG_user_interface_0/] [backend_fifos_0/] [MIG_wr_data_fifo_16.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 24 mcwaccent
-------------------------------------------------------------------------------
2
-- Copyright (c) 2005-2007 Xilinx, Inc.
3
-- This design is confidential and proprietary of Xilinx, All Rights Reserved.
4
-------------------------------------------------------------------------------
5
--   ____  ____
6
--  /   /\/   /
7
-- /___/  \  /   Vendor             : Xilinx
8
-- \   \   \/    Version            : $Name: i+IP+131489 $
9
--  \   \        Application        : MIG
10
--  /   /        Filename           : MIG_wr_data_fifo_16.vhd
11
-- /___/   /\    Date Last Modified : $Date: 2007/09/21 15:23:25 $
12
-- \   \  /  \   Date Created       : Mon May 2 2005
13
--  \___\/\___\
14
--
15
-- Device      : Virtex-4
16
-- Design Name : DDR SDRAM
17
-- Description: Instantiates the block RAM based FIFO to store the user
18
--              interface data into it and read after a specified amount is
19
--              already written. The reading starts when the almost full
20
--              signal is generated whose offset is programmable.
21
-------------------------------------------------------------------------------
22
 
23
library ieee;
24
use ieee.std_logic_1164.all;
25
use ieee.std_logic_unsigned.all;
26
library UNISIM;
27
use UNISIM.vcomponents.all;
28
 
29
entity MIG_wr_data_fifo_16 is
30
  port(
31
    clk0              : in  std_logic;
32
    clk90             : in  std_logic;
33
    rst               : in  std_logic;
34
    --Write data fifo signals
35
    app_wdf_data      : in  std_logic_vector(31 downto 0);
36
    app_mask_data     : in  std_logic_vector(3 downto 0);
37
    app_wdf_wren      : in  std_logic;
38
    ctrl_wdf_rden     : in  std_logic;
39
    wdf_data          : out std_logic_vector(31 downto 0);
40
    mask_data         : out std_logic_vector(3 downto 0);
41
    wr_df_almost_full : out std_logic
42
    );
43
end MIG_wr_data_fifo_16;
44
 
45
architecture arch of MIG_wr_data_fifo_16 is
46
 
47
  signal ctrl_wdf_rden_270 : std_logic;
48
  signal ctrl_wdf_rden_90  : std_logic;
49
  signal rst_r             : std_logic;
50
 
51
 
52
begin
53
 
54
 
55
  process(clk0)
56
  begin
57
    if(clk0'event and clk0 = '1') then
58
      rst_r <= rst;
59
    end if;
60
  end process;
61
 
62
  process(clk90)
63
  begin
64
    if clk90'event and clk90 = '0' then
65
      ctrl_wdf_rden_270 <= ctrl_wdf_rden;
66
    end if;
67
  end process;
68
 
69
 
70
  process(clk90)
71
  begin
72
    if clk90'event and clk90 = '1' then
73
      ctrl_wdf_rden_90 <= ctrl_wdf_rden_270;
74
    end if;
75
  end process;
76
 
77
 
78
  Wdf_1 : FIFO16
79
    generic map (
80
      ALMOST_FULL_OFFSET      => X"00F",
81
      ALMOST_EMPTY_OFFSET     => X"007",
82
      DATA_WIDTH              => 36,
83
      FIRST_WORD_FALL_THROUGH => false
84
      )
85
    port map (
86
      ALMOSTEMPTY => open,
87
      ALMOSTFULL  => wr_df_almost_full,
88
      DO          => wdf_data(31 downto 0),
89
      DOP         => mask_data(3 downto 0),
90
      EMPTY       => open,
91
      FULL        => open,
92
      RDCOUNT     => open,
93
      RDERR       => open,
94
      WRCOUNT     => open,
95
      WRERR       => open,
96
      DI          => app_wdf_data(31 downto 0),
97
      DIP         => app_mask_data(3 downto 0),
98
      RDCLK       => clk90,
99
      RDEN        => ctrl_wdf_rden_90,
100
      RST         => rst_r,
101
      WRCLK       => clk0,
102
      WREN        => app_wdf_wren
103
      );
104
 
105
end arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.