OpenCores
URL https://opencores.org/ocsvn/the_wizardry_project/the_wizardry_project/trunk

Subversion Repositories the_wizardry_project

[/] [the_wizardry_project/] [trunk/] [Wizardry/] [VHDL/] [Wizardry Top Level/] [Memory Design/] [idelay_ctrl0/] [MIG_idelay_ctrl.vhd] - Blame information for rev 23

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 23 mcwaccent
-------------------------------------------------------------------------------
2
-- Copyright (c) 2005-2007 Xilinx, Inc.
3
-- This design is confidential and proprietary of Xilinx, All Rights Reserved.
4
-------------------------------------------------------------------------------
5
--   ____  ____
6
--  /   /\/   /
7
-- /___/  \  /   Vendor             : Xilinx
8
-- \   \   \/    Version            : $Name: i+IP+131489 $
9
--  \   \        Application        : MIG
10
--  /   /        Filename           : MIG_idelay_ctrl.vhd
11
-- /___/   /\    Date Last Modified : $Date: 2007/09/21 15:23:24 $
12
-- \   \  /  \   Date Created       : Mon May 2 2005
13
--  \___\/\___\
14
--
15
-- Device      : Virtex-4
16
-- Design Name : DDR SDRAM
17
-- Description: Instantaites the IDELAYCTRL primitive of the Virtex4 device
18
--              which continously calibrates the IDELAY elements in the region
19
--              in case of varying operating conditions. It takes a 200MHz
20
--              clock as an input.
21
-------------------------------------------------------------------------------
22
 
23
library ieee;
24
use ieee.std_logic_1164.all;
25
library UNISIM;
26
use UNISIM.vcomponents.all;
27
 
28
entity MIG_idelay_ctrl is
29
  port(
30
    clk200     : in  std_logic;
31
    reset      : in  std_logic;
32
    rdy_status : out std_logic
33
    );
34
end MIG_idelay_ctrl;
35
 
36
architecture arch of MIG_idelay_ctrl is
37
 
38
begin
39
 
40
  idelayctrl0 : IDELAYCTRL
41
    port map (
42
      RDY    => rdy_status,
43
      REFCLK => clk200,
44
      RST    => reset
45
      );
46
 
47
end arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.