OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [beta_1.1/] [rtl/] [IO/] [Module_WishBoneMaster.v] - Blame information for rev 58

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 32 diegovalve
`timescale 1ns / 1ps
2
`include "aDefinitions.v"
3
/**********************************************************************************
4
Theia, Ray Cast Programable graphic Processing Unit.
5
Copyright (C) 2010  Diego Valverde (diego.valverde.g@gmail.com)
6
 
7
This program is free software; you can redistribute it and/or
8
modify it under the terms of the GNU General Public License
9
as published by the Free Software Foundation; either version 2
10
of the License, or (at your option) any later version.
11
 
12
This program is distributed in the hope that it will be useful,
13
but WITHOUT ANY WARRANTY; without even the implied warranty of
14
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
15
GNU General Public License for more details.
16
 
17
You should have received a copy of the GNU General Public License
18
along with this program; if not, write to the Free Software
19
Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301, USA.
20
 
21
***********************************************************************************/
22
/*
23
        In order to read the geometry, we will behave as a master.
24
        Performing single Reads Bus cycles should be sufficient.
25
        Choosing 32 bit for bus width for simplicity.
26
*/
27
 
28
module WishBoneMasterUnit
29
(
30
//WB Input signals
31
input wire                                                 CLK_I,
32
input wire                                                 RST_I,
33
input wire                                                 ACK_I,
34
input wire [`WB_WIDTH-1:0 ]      DAT_I,
35
output wire [`WB_WIDTH-1:0]   DAT_O,
36
 
37
 
38
//WB Output Signals
39
output wire [`WB_WIDTH-1:0 ] ADR_O,
40
output wire                                  WE_O,
41
output wire                                  STB_O,
42
output wire                                  CYC_O,
43
output wire [1:0]                             TGC_O,
44
 
45
//Signals from inside the GPU
46
input wire                                              iEnable,
47
input wire                 iBusCyc_Type,
48
input wire [`WIDTH-1:0 ]         iAddress,
49
input wire                 iAddress_Set,
50
output wire                                             oDataReady,
51
input wire  [`WIDTH-1:0 ]  iData,
52
output wire     [`WIDTH-1:0 ]  oData
53
 
54
 
55
);
56
wire wReadOperation;
57
//assign ADR_O = iAddress;
58
assign wReadOperation = (iBusCyc_Type == `WB_SIMPLE_READ_CYCLE) ? 1 : 0;
59
assign WE_O = (iBusCyc_Type == `WB_SIMPLE_WRITE_CYCLE && iEnable) ? 1 : 0;
60
 
61
assign STB_O = iEnable & ~ACK_I;
62
assign CYC_O = iEnable;
63
 
64
assign DAT_O = (wReadOperation | ~iEnable ) ? `WB_WIDTH'bz : iData;
65
 
66
 
67
//The ADR_O, it increments with each ACK_I, and it resets
68
//to the value iAddress everytime iAddress_Set is 1.
69
UPCOUNTER_POSEDGE # (`WIDTH) WBM_O_ADDRESS
70
(
71
        .Clock(CLK_I),
72
        .Reset( iAddress_Set ),
73
        .Enable(ACK_I | iAddress_Set),
74
        .Initial(iAddress),
75
        .Q(ADR_O)
76
);
77
 
78
 
79
 
80
FFD_POSEDGE_SYNCRONOUS_RESET # ( `WIDTH ) FFD1
81
(
82
        .Clock(ACK_I),
83
        .Reset(~iEnable),
84
        .Enable(wReadOperation),
85
        .D(DAT_I),
86
        .Q(oData)
87
);
88
 
89
wire wDelayDataReady;
90
FFD_POSEDGE_SYNCRONOUS_RESET # ( 1 ) FFD2
91
(
92
        .Clock(CLK_I),
93
        .Reset(~iEnable),
94
        .Enable(wReadOperation),
95
        .D(ACK_I),
96
        .Q(wDelayDataReady)
97
);
98
/*
99
always @ (posedge wDelayDataReady)
100
begin
101
        $display("WBM Got data: %h ",oData);
102
        $display("oDataReady = %d",oDataReady );
103
end
104
*/
105
 
106
assign oDataReady = wDelayDataReady & iEnable;
107
 
108
endmodule
109
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.