OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [beta_2.0/] [regressions/] [single_core/] [test_bubble_sort.vptmp] - Blame information for rev 218

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 218 diegovalve
// void bubbleSort(int numbers[], int array_size)
2
// {
3
  // int i, j, temp;
4
 
5
  // for (i = (array_size - 1); i > 0; i--)
6
  // {
7
    // for (j = 1; j <= i; j++)
8
    // {
9
      // if (numbers[j-1] > numbers[j])
10
      // {
11
        // temp = numbers[j-1];
12
        // numbers[j-1] = numbers[j];
13
        // numbers[j] = temp;
14
      // }
15
    // }
16
  // }
17
// }
18
#define Result r66
19
 
20
#define ARRAY_SIZE 10
21
function main()
22
{
23
 
24
 
25
 
26
        vector array[ ARRAY_SIZE ];
27
        vector i,j;
28
        i = 0; j = 6;
29
        array[ i ] = j;
30
        i = 1; j = 3;
31
        array[ i ] = j;
32
        i = 2; j = 1;
33
        array[ i ] = j;
34
        i = 3; j = 5;
35
        array[ i ] = j;
36
        i = 4; j = 4;
37
        array[ i ] = j;
38
        i = 5; j = 2;
39
        array[ i ] = j;
40
        i = 6; j = 8;
41
        array[ i ] = j;
42
        i = 7; j = 0;
43
        array[ i ] = j;
44
        i = 8; j = 9;
45
        array[ i ] = j;
46
        i = 9; j = 7;
47
        array[ i ] = j;
48
 
49
        vector t1,t2,t3,t4,t5;
50
        i = 9;
51
        while ( i.xxx >= 0)
52
        {
53
                j = 1;
54
                while (j.xxx < ARRAY_SIZE)
55
                {
56
                        t1 = j - 1;
57
                        t2 = array[ t1 ];
58
                        t3 = array[ j ];
59
                        if (t2 > t3)
60
                        {
61
                                t4 = array[t1];
62
                                t5 = array[j];
63
                                array[t1] = t5;
64
                                array[j] = t4;
65
                        }
66
                        j++;
67
                }
68
                i--;
69
        }
70
        Result = 0xaced;
71
        exit ;
72
 
73
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.