OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [icarus_version/] [rtl/] [Module_ROM.v] - Blame information for rev 178

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 178 diegovalve
 
2
 
3
`define ONE (32'h1 << `SCALE)
4
 
5
`timescale 1ns / 1ps
6
`include "aDefinitions.v"
7
/**********************************************************************************
8
Theia, Ray Cast Programable graphic Processing Unit.
9
Copyright (C) 2010  Diego Valverde (diego.valverde.g@gmail.com)
10
 
11
This program is free software; you can redistribute it and/or
12
modify it under the terms of the GNU General Public License
13
as published by the Free Software Foundation; either version 2
14
of the License, or (at your option) any later version.
15
 
16
This program is distributed in the hope that it will be useful,
17
but WITHOUT ANY WARRANTY; without even the implied warranty of
18
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
19
GNU General Public License for more details.
20
 
21
You should have received a copy of the GNU General Public License
22
along with this program; if not, write to the Free Software
23
Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301, USA.
24
 
25
***********************************************************************************/
26
 
27
/*
28
I can't synthesize roms, the rom needs to be adapted depending on the
29
final target silicon.
30
*/
31
 
32
 
33
//--------------------------------------------------------
34
module ROM
35
(
36
        input  wire[`ROM_ADDRESS_WIDTH-1:0]              Address,
37
        `ifdef DEBUG
38
        input wire [`MAX_CORES-1:0]            iDebug_CoreID,
39
        `endif
40
        output reg [`INSTRUCTION_WIDTH-1:0]              I
41
);
42
 
43
 
44
always @( Address )
45
begin
46
                        case (Address)
47
 
48
//Hardcoded stuff :(
49
`define RAY_INSIDE_BOX                          `R3
50
`define CURRENT_LIGHT_POS `CREG_FIRST_LIGTH  //TODO: CAHNEG T 
51
`define CURRENT_LIGHT_DIFFUSE 16'h6
52
 
53
//-----------------------------------------------------------------
54
`define TAG_PIXELSHADER 16'd310
55
`define TAG_USERCONSTANTS 16'd308
56
`define TAG_PSU_UCODE_ADRESS2 16'd280
57
`define TAG_PSU_UCODE_ADRESS 16'd264
58
`define LABEL_TCC_EXIT 16'd263
59
`define TAG_TCC_UCODE_ADDRESS 16'd222
60
`define LABEL_BIU4 16'd221
61
`define LABEL_BIU3 16'd211
62
`define LABEL_BIU2 16'd207
63
`define LABEL_BIU1 16'd204
64
`define TAG_BIU_UCODE_ADDRESS 16'd186
65
`define LABEL_HIT 16'd184
66
`define LABEL15 16'd182
67
`define LABEL14 16'd180
68
`define LABEL13 16'd178
69
`define LABEL_TEST_XY_PLANE 16'd173
70
`define LABEL12 16'd171
71
`define LABEL11 16'd169
72
`define LABEL10 16'd167
73
`define LABEL_TEST_XZ_PLANE 16'd161
74
`define LABEL9 16'd159
75
`define LABEL8 16'd157
76
`define LABEL7 16'd155
77
`define LABEL_TEST_YZ_PLANE 16'd149
78
`define LABEL_RAY_INSIDE_BOX 16'd146
79
`define LABEL_ELSEZ 16'd145
80
`define LABEL6 16'd142
81
`define LABEL_ELESE_IFZ 16'd138
82
`define LABEL5 16'd135
83
`define LABEL_TEST_RAY_Z_ORIGEN 16'd131
84
`define LABEL_ELSEY 16'd130
85
`define LABEL4 16'd127
86
`define LABEL_ELESE_IFY 16'd123
87
`define LABEL3 16'd120
88
`define LABEL_TEST_RAY_Y_ORIGEN 16'd116
89
`define LABEL_ELSEX 16'd115
90
`define LABEL2 16'd112
91
`define LABEL_ELSE_IFX 16'd108
92
`define LABEL1 16'd105
93
`define LABEL_TEST_RAY_X_ORIGEN 16'd101
94
`define TAG_AABBIU_UCODE_ADDRESS 16'd98
95
`define LABEL_ALLDONE 16'd96
96
`define LABEL_NPG_NEXT_ROW 16'd91
97
`define TAG_NPG_UCODE_ADDRESS 16'd82
98
`define TAG_RGU_UCODE_ADDRESS 16'd74
99
`define TAG_CPPU_UCODE_ADDRESS 16'd70
100
`define LABEL_MAIN_RENDER_DONE 16'd69
101
`define LABEL_MAIN_IS_NO_HIT 16'd62
102
`define LABEL_MAIN_IS_HIT 16'd51
103
`define LABEL_MAIN_CHECK_HIT 16'd50
104
`define LABEL_DEC_PRIM_COUNT 16'd47
105
`define LABEL_MAIN_TEST_INTERSECTION 16'd42
106
`define TAG_ADRR_MAIN 16'd37
107
 
108
 
109
//-------------------------------------------------------------------------
110
//Default values for some registers after reset
111
//-------------------------------------------------------------------------
112
//This is the first code that gets executed after the machine is
113
//externally configured ie after the MST_I goes from 1 to zero.
114
//It sets initial values for some of the internal registers
115
 
116
0: I = { `ZERO ,`CREG_LAST_t ,`VOID ,`VOID };
117
//Set the last 't' to very positive value(500) 
118
1: I = { `SETX ,`CREG_LAST_t ,32'h1F40000  };
119
2: I = { `ZERO ,`OREG_PIXEL_COLOR ,`VOID ,`VOID };
120
3: I = { `COPY ,`CREG_PIXEL_2D_POSITION ,`CREG_PIXEL_2D_INITIAL_POSITION ,`VOID };
121
 
122
 
123
//Calculate the initial linear address for ADR_O
124
//this is: (X_initial + RESOLUTION_Y*Y_intial) * 3.
125
//Notice that we need to use 'unscaled' ie. integer
126
//values because the resuts of the multiplication by
127
//the resoluction is to large to fit a fixed point 
128
//representation.
129
 
130
4: I = { `COPY ,`R1 ,`CREG_RESOLUTION ,`VOID };
131
5: I = { `UNSCALE ,`R1 ,`R1 ,`VOID };
132
6: I = { `SETX ,`R1 ,32'h1  };
133
7: I = { `SETZ ,`R1 ,32'h0  };
134
8: I = { `COPY ,`R2 ,`CREG_PIXEL_2D_INITIAL_POSITION ,`VOID };
135
9: I = { `UNSCALE ,`R2 ,`R2 ,`VOID };
136
 
137
//Ok lets start by calculating RESOLUTION_Y*Y_intial
138
10: I = { `IMUL ,`R1 ,`R1 ,`R2 };
139
11: I = { `COPY ,`R2 ,`R1 ,`VOID };
140
12: I = { `SWIZZLE3D ,`R2 ,`SWIZZLE_YYY  };
141
 
142
//now X_initial + RESOLUTION_Y*Y_intial
143
13: I = { `ADD ,`R3 ,`R1 ,`R2 };
144
14: I = { `COPY ,`R2 ,`R1 ,`VOID };
145
15: I = { `SWIZZLE3D ,`R2 ,`SWIZZLE_ZZZ  };
146
16: I = { `ADD ,`R3 ,`R3 ,`R2 };
147
17: I = { `SWIZZLE3D ,`R3 ,`SWIZZLE_XXX  };
148
 
149
//finally multiply by 3 to get:
150
//(X_initial + RESOLUTION_Y*Y_intial) * 3 voila!
151
18: I = { `SETX ,`R2 ,32'h3  };
152
19: I = { `SWIZZLE3D ,`R2 ,`SWIZZLE_XXX  };
153
20: I = { `IMUL ,`CREG_PIXEL_PITCH ,`R3 ,`R2 };
154
 
155
//By this point you should be wondering why not
156
//just do DOT R1 [1 Resolution_Y 0] [X_intial Y_intial 0 ]?
157
//well because DOT uses fixed point and the result may not
158
//fit :(
159
 
160
//Transform from fixed point to integer
161
//UNSCALE CREG_PIXEL_PITCH CREG_PIXEL_PITCH VOID
162
21: I = { `COPY ,`OREG_ADDR_O ,`CREG_PIXEL_PITCH ,`VOID };
163
 
164
22: I = { `SETX ,`CREG_3 ,32'h3  };
165
23: I = { `SWIZZLE3D ,`CREG_3 ,`SWIZZLE_XXX  };
166
 
167
24: I = { `SETX ,`CREG_012 ,32'h0  };
168
25: I = { `SETY ,`CREG_012 ,32'h1  };
169
26: I = { `SETZ ,`CREG_012 ,32'h2  };
170
27: I = { `COPY ,`CREG_CURRENT_OUTPUT_PIXEL ,`CREG_012 ,`VOID };
171
28: I = { `ZERO ,`CREG_TEXTURE_COLOR ,`VOID ,`VOID };
172
29: I = { `ZERO ,`CREG_ZERO ,`VOID ,`VOID };
173
 
174
30: I = { `ZERO ,`R1 ,`VOID ,`VOID };
175
31: I = { `ZERO ,`R2 ,`VOID ,`VOID };
176
32: I = { `ZERO ,`R3 ,`VOID ,`VOID };
177
33: I = { `ZERO ,`R4 ,`VOID ,`VOID };
178
34: I = { `ZERO ,`R5 ,`VOID ,`VOID };
179
35: I = { `ZERO ,`R99 ,`VOID ,`VOID };
180
 
181
36: I = { `RETURN ,`RT_TRUE   };
182
 
183
//---------------------------------------------------------------------
184
//This is the main sub-routine
185
//TAG_ADRR_MAIN:
186
37: I = { `NOP ,`RT_FALSE   }; //{ `ZERO ,`CREG_HIT ,`VOID ,`VOID }; 
187
        //Generate the ray, but this is wrong, it has to generate only once for all the triangles..
188
38: I = { `JNEX ,`LABEL_MAIN_TEST_INTERSECTION ,`CREG_PRIMITIVE_COUNT ,`CREG_MAX_PRIMITIVES };
189
39: I = { `CALL ,`ENTRYPOINT_ADRR_RGU ,`VOID ,`VOID };
190
40: I = { `ZERO ,`CREG_HIT ,`VOID ,`VOID };//{ `NOP ,`RT_FALSE   }; 
191
41: I = { `RESCALE ,`CREG_PRIMITIVE_COUNT ,`CREG_MAX_PRIMITIVES ,`VOID };
192
 
193
//LABEL_MAIN_TEST_INTERSECTION:
194
        //Check ofr triangle intersection
195
42: I = { `NOP ,`RT_FALSE   };
196
43: I = { `CALL ,`ENTRYPOINT_ADRR_BIU ,`VOID ,`VOID };
197
44: I = { `NOP ,`RT_FALSE   };
198
 
199
45: I = { `JEQX ,`LABEL_DEC_PRIM_COUNT ,`R99 ,`CREG_ZERO };
200
46: I = { `COPY ,`CREG_HIT ,`R99 ,`VOID };
201
//LABEL_DEC_PRIM_COUNT:
202
47: I = { `DEC ,`CREG_PRIMITIVE_COUNT ,`CREG_PRIMITIVE_COUNT ,`VOID };
203
48: I = { `JEQX ,`LABEL_MAIN_CHECK_HIT ,`CREG_PRIMITIVE_COUNT ,`CREG_ZERO };
204
49: I = { `RETURN ,`RT_FALSE   };
205
 
206
//LABEL_MAIN_CHECK_HIT:
207
50: I = { `JEQX ,`LABEL_MAIN_IS_NO_HIT ,`CREG_HIT ,`CREG_ZERO };
208
 
209
 
210
 
211
//LABEL_MAIN_IS_HIT:
212
51: I = { `NOP ,`RT_FALSE   };
213
52: I = { `CALL ,`ENTRYPOINT_ADRR_TCC ,`VOID ,`VOID };
214
53: I = { `NOP ,`RT_FALSE   };
215
54: I = { `CALL ,`ENTRYPOINT_ADRR_PSU2 ,`VOID ,`VOID };
216
55: I = { `NOP ,`RT_FALSE   };
217
56: I = { `CALL ,`ENTRYPOINT_ADRR_PIXELSHADER ,`VOID ,`VOID };
218
57: I = { `NOP ,`RT_FALSE   };
219
58: I = { `CALL ,`ENTRYPOINT_ADRR_NPG ,`VOID ,`VOID };
220
59: I = { `NOP ,`RT_FALSE   };
221
60: I = { `JEQX ,`LABEL_MAIN_RENDER_DONE ,`R99 ,`CREG_ZERO };
222
61: I = { `RETURN ,`RT_TRUE   };
223
 
224
 
225
 
226
//LABEL_MAIN_IS_NO_HIT:
227
62: I = { `NOP ,`RT_FALSE   };
228
63: I = { `CALL ,`ENTRYPOINT_ADRR_PIXELSHADER ,`VOID ,`VOID };
229
64: I = { `NOP ,`RT_FALSE   };
230
65: I = { `CALL ,`ENTRYPOINT_ADRR_NPG ,`VOID ,`VOID };
231
66: I = { `NOP ,`RT_FALSE   };
232
67: I = { `JNEX ,`LABEL_MAIN_RENDER_DONE ,`R99 ,`CREG_ZERO };
233
68: I = { `RETURN ,`RT_TRUE   };
234
//LABEL_MAIN_RENDER_DONE:
235
69: I = { `RETURN ,`RT_TRUE   };
236
 
237
 
238
//----------------------------------------------------------------------          
239
//Micro code for CPPU
240
//TAG_CPPU_UCODE_ADDRESS:
241
 
242
 
243
70: I = { `SUB ,`R1 ,`CREG_PROJECTION_WINDOW_MAX ,`CREG_PROJECTION_WINDOW_MIN };
244
71: I = { `DIV ,`CREG_PROJECTION_WINDOW_SCALE ,`R1 ,`CREG_RESOLUTION };
245
72: I = { `COPY ,`CREG_PRIMITIVE_COUNT ,`CREG_MAX_PRIMITIVES ,`VOID };
246
73: I = { `RETURN ,`RT_FALSE   };
247
 
248
//----------------------------------------------------------------------          
249
//Micro code for RGU
250
//TAG_RGU_UCODE_ADDRESS:
251
 
252
 
253
74: I = { `MUL ,`R1 ,`CREG_PIXEL_2D_POSITION ,`CREG_PROJECTION_WINDOW_SCALE };
254
75: I = { `ADD ,`R1 ,`R1 ,`CREG_PROJECTION_WINDOW_MIN };
255
76: I = { `SUB ,`CREG_UNORMALIZED_DIRECTION ,`R1 ,`CREG_CAMERA_POSITION };
256
77: I = { `MAG ,`R2 ,`CREG_UNORMALIZED_DIRECTION ,`VOID };
257
78: I = { `DIV ,`CREG_RAY_DIRECTION ,`CREG_UNORMALIZED_DIRECTION ,`R2 };
258
79: I = { `DEC ,`CREG_LAST_COL ,`CREG_PIXEL_2D_FINAL_POSITION ,`VOID };
259
80: I = { `SETX ,`CREG_LAST_t ,32'h1F40000  };
260
 
261
81: I = { `RET ,`R99 ,`TRUE  };
262
//----------------------------------------------------------------------
263
//Next Pixel generation Code (NPG)
264
//TAG_NPG_UCODE_ADDRESS:
265
 
266
82: I = { `COPY ,`CREG_PRIMITIVE_COUNT ,`CREG_MAX_PRIMITIVES ,`VOID };
267
 
268
83: I = { `ZERO ,`CREG_TEXTURE_COLOR ,`VOID ,`VOID };
269
84: I = { `SETX ,`CREG_TEXTURE_COLOR ,32'h60000  };
270
85: I = { `ADD ,`CREG_CURRENT_OUTPUT_PIXEL ,`CREG_CURRENT_OUTPUT_PIXEL ,`CREG_3 };
271
 
272
86: I = { `ADD ,`CREG_PIXEL_PITCH ,`CREG_PIXEL_PITCH ,`CREG_3 };
273
87: I = { `COPY ,`OREG_ADDR_O ,`CREG_PIXEL_PITCH ,`VOID };
274
88: I = { `JGEX ,`LABEL_NPG_NEXT_ROW ,`CREG_PIXEL_2D_POSITION ,`CREG_LAST_COL };
275
89: I = { `INCX ,`CREG_PIXEL_2D_POSITION ,`CREG_PIXEL_2D_POSITION ,`VOID };
276
90: I = { `RET ,`R99 ,`FALSE  };
277
 
278
//LABEL_NPG_NEXT_ROW:
279
91: I = { `SETX ,`CREG_PIXEL_2D_POSITION ,32'h0  };
280
92: I = { `INCY ,`CREG_PIXEL_2D_POSITION ,`CREG_PIXEL_2D_POSITION ,`VOID };
281
93: I = { `JGEY ,`LABEL_ALLDONE ,`CREG_PIXEL_2D_POSITION ,`CREG_PIXEL_2D_FINAL_POSITION };
282
94: I = { `NOP ,`RT_FALSE   };
283
95: I = { `RET ,`R99 ,`FALSE  };
284
 
285
//LABEL_ALLDONE:
286
96: I = { `NOP ,`RT_FALSE   };
287
97: I = { `RET ,`R99 ,`TRUE  };
288
 
289
//----------------------------------------------------------------------
290
//Micro code for AABBIU
291
//TAG_AABBIU_UCODE_ADDRESS:
292
 
293
98: I = { `ZERO ,`R3 ,`VOID ,`VOID };
294
99: I = { `SETX ,`CREG_LAST_t ,32'h1F40000  };
295
100: I = { `RETURN ,`RT_TRUE   };
296
 
297
//LABEL_TEST_RAY_X_ORIGEN:
298
101: I = { `JGEX ,`LABEL_ELSE_IFX ,`CREG_CAMERA_POSITION ,`CREG_AABBMIN };
299
102: I = { `SUB ,`R1 ,`CREG_AABBMIN ,`CREG_CAMERA_POSITION };
300
103: I = { `JLEX ,`LABEL1 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
301
104: I = { `RETURN ,`RT_FALSE   };
302
 
303
//LABEL1:
304
105: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
305
106: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
306
107: I = { `JMP ,`LABEL_TEST_RAY_Y_ORIGEN ,`VOID ,`VOID };
307
 
308
//LABEL_ELSE_IFX:
309
108: I = { `JLEX ,`LABEL_ELSEX ,`CREG_CAMERA_POSITION ,`CREG_AABBMAX };
310
109: I = { `SUB ,`R1 ,`CREG_AABBMAX ,`CREG_CAMERA_POSITION };
311
110: I = { `JGEX ,`LABEL2 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
312
111: I = { `RETURN ,`RT_FALSE   };
313
 
314
//LABEL2:
315
112: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
316
113: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
317
114: I = { `JMP ,`LABEL_TEST_RAY_Y_ORIGEN ,`VOID ,`VOID };
318
//LABEL_ELSEX:
319
115: I = { `SETX ,`R5 ,32'b1  };
320
 
321
//LABEL_TEST_RAY_Y_ORIGEN:
322
116: I = { `JGEY ,`LABEL_ELESE_IFY ,`CREG_CAMERA_POSITION ,`CREG_AABBMIN };
323
117: I = { `SUB ,`R1 ,`CREG_AABBMIN ,`CREG_CAMERA_POSITION };
324
118: I = { `JLEY ,`LABEL3 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
325
119: I = { `RETURN ,`RT_FALSE   };
326
 
327
//LABEL3:
328
120: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
329
121: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
330
122: I = { `JMP ,`LABEL_TEST_RAY_Z_ORIGEN ,`VOID ,`VOID };
331
 
332
//LABEL_ELESE_IFY:
333
123: I = { `JLEY ,`LABEL_ELSEY ,`CREG_CAMERA_POSITION ,`CREG_AABBMAX };
334
124: I = { `SUB ,`R1 ,`CREG_AABBMAX ,`CREG_CAMERA_POSITION };
335
125: I = { `JGEY ,`LABEL4 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
336
126: I = { `RETURN ,`RT_FALSE   };
337
 
338
//LABEL4:
339
127: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
340
128: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
341
129: I = { `JMP ,`LABEL_TEST_RAY_Z_ORIGEN ,`VOID ,`VOID };
342
 
343
//LABEL_ELSEY:
344
130: I = { `SETY ,`R5 ,32'b1  };
345
 
346
//LABEL_TEST_RAY_Z_ORIGEN:
347
131: I = { `JGEZ ,`LABEL_ELESE_IFZ ,`CREG_CAMERA_POSITION ,`CREG_AABBMIN };
348
132: I = { `SUB ,`R1 ,`CREG_AABBMIN ,`CREG_CAMERA_POSITION };
349
133: I = { `JLEZ ,`LABEL5 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
350
134: I = { `RETURN ,`RT_FALSE   };
351
 
352
//LABEL5:
353
135: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
354
136: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
355
137: I = { `JMP ,`LABEL_RAY_INSIDE_BOX ,`VOID ,`VOID };
356
 
357
//LABEL_ELESE_IFZ:
358
138: I = { `JLEZ ,`LABEL_ELSEZ ,`CREG_CAMERA_POSITION ,`CREG_AABBMAX };
359
139: I = { `SUB ,`R1 ,`CREG_AABBMAX ,`CREG_CAMERA_POSITION };
360
140: I = { `JGEZ ,`LABEL6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
361
141: I = { `RETURN ,`RT_FALSE   };
362
 
363
//LABEL6:
364
142: I = { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
365
143: I = { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
366
144: I = { `JMP ,`LABEL_RAY_INSIDE_BOX ,`VOID ,`VOID };
367
 
368
//LABEL_ELSEZ:
369
145: I = { `SETZ ,`R5 ,32'b1  };
370
 
371
//LABEL_RAY_INSIDE_BOX:
372
146: I = { `ZERO ,`R1 ,`VOID ,`VOID };
373
147: I = { `JEQX ,`LABEL_TEST_YZ_PLANE ,`R1 ,`RAY_INSIDE_BOX };
374
//BUG need a NOP here else pipeline gets confused
375
148: I = { `RETURN ,`RT_TRUE   };
376
 
377
//LABEL_TEST_YZ_PLANE:
378
149: I = { `JNEX ,`LABEL_TEST_XZ_PLANE ,`R5 ,`R1 };
379
150: I = { `SWIZZLE3D ,`R6 ,`SWIZZLE_XXX  };
380
151: I = { `MUL ,`R2 ,`CREG_UNORMALIZED_DIRECTION ,`R6 };
381
152: I = { `ADD ,`R2 ,`R2 ,`CREG_CAMERA_POSITION };
382
153: I = { `JGEY ,`LABEL7 ,`R2 ,`CREG_AABBMIN };
383
154: I = { `RETURN ,`RT_FALSE   };
384
 
385
//LABEL7:
386
155: I = { `JLEY ,`LABEL8 ,`R2 ,`CREG_AABBMAX };
387
156: I = { `RETURN ,`RT_FALSE   };
388
 
389
//LABEL8:
390
157: I = { `JGEZ ,`LABEL9 ,`R2 ,`CREG_AABBMIN };
391
158: I = { `RETURN ,`RT_FALSE   };
392
 
393
//LABEL9:
394
159: I = { `JLEZ ,`LABEL_TEST_XZ_PLANE ,`R2 ,`CREG_AABBMAX };
395
160: I = { `RETURN ,`RT_FALSE   };
396
 
397
//LABEL_TEST_XZ_PLANE:
398
161: I = { `JNEY ,`LABEL_TEST_XY_PLANE ,`R5 ,`R1 };
399
162: I = { `SWIZZLE3D ,`R6 ,`SWIZZLE_YYY  };
400
163: I = { `MUL ,`R2 ,`CREG_UNORMALIZED_DIRECTION ,`R6 };
401
164: I = { `ADD ,`R2 ,`R2 ,`CREG_CAMERA_POSITION };
402
165: I = { `JGEX ,`LABEL10 ,`R2 ,`CREG_AABBMIN };
403
166: I = { `RETURN ,`RT_FALSE   };
404
 
405
//LABEL10:
406
167: I = { `JLEX ,`LABEL11 ,`R2 ,`CREG_AABBMAX };
407
168: I = { `RETURN ,`RT_FALSE   };
408
 
409
//LABEL11:
410
169: I = { `JGEZ ,`LABEL12 ,`R2 ,`CREG_AABBMIN };
411
170: I = { `RETURN ,`RT_FALSE   };
412
 
413
//LABEL12:
414
171: I = { `JLEZ ,`LABEL_TEST_XY_PLANE ,`R2 ,`CREG_AABBMAX };
415
172: I = { `RETURN ,`RT_FALSE   };
416
 
417
//LABEL_TEST_XY_PLANE:
418
173: I = { `SWIZZLE3D ,`R6 ,`SWIZZLE_ZZZ  };
419
174: I = { `MUL ,`R2 ,`CREG_UNORMALIZED_DIRECTION ,`R6 };
420
175: I = { `ADD ,`R2 ,`R2 ,`CREG_CAMERA_POSITION };
421
176: I = { `JGEX ,`LABEL13 ,`R2 ,`CREG_AABBMIN };
422
177: I = { `RETURN ,`RT_FALSE   };
423
 
424
//LABEL13:
425
178: I = { `JLEX ,`LABEL14 ,`R2 ,`CREG_AABBMAX };
426
179: I = { `RETURN ,`RT_FALSE   };
427
 
428
//LABEL14:
429
180: I = { `JGEY ,`LABEL15 ,`R2 ,`CREG_AABBMIN };
430
181: I = { `RETURN ,`RT_FALSE   };
431
 
432
//LABEL15:
433
182: I = { `JLEY ,`LABEL_HIT ,`R2 ,`CREG_AABBMAX };
434
183: I = { `RETURN ,`RT_FALSE   };
435
 
436
//LABEL_HIT:
437
184: I = { `SETX ,`CREG_LAST_t ,32'h1F40000  };
438
185: I = { `RETURN ,`RT_TRUE   };
439
 
440
 //------------------------------------------------------------------------
441
 //BIU Micro code
442
//TAG_BIU_UCODE_ADDRESS:
443
 
444
186: I = { `ZERO ,`OREG_PIXEL_COLOR ,`VOID ,`VOID };
445
187: I = { `SETX ,`R3 ,`ONE  };
446
188: I = { `SETX ,`R1 ,32'h00000  };
447
189: I = { `SUB ,`CREG_E1 ,`CREG_V1 ,`CREG_V0 };
448
190: I = { `SUB ,`CREG_E2 ,`CREG_V2 ,`CREG_V0 };
449
191: I = { `SUB ,`CREG_T ,`CREG_CAMERA_POSITION ,`CREG_V0 };
450
192: I = { `CROSS ,`CREG_P ,`CREG_RAY_DIRECTION ,`CREG_E2 };
451
193: I = { `CROSS ,`CREG_Q ,`CREG_T ,`CREG_E1 };
452
194: I = { `DOT ,`CREG_H1 ,`CREG_Q ,`CREG_E2 };
453
195: I = { `DOT ,`CREG_H2 ,`CREG_P ,`CREG_T };
454
196: I = { `DOT ,`CREG_H3 ,`CREG_Q ,`CREG_RAY_DIRECTION };
455
197: I = { `DOT ,`CREG_DELTA ,`CREG_P ,`CREG_E1 };
456
198: I = { `DIV ,`CREG_t ,`CREG_H1 ,`CREG_DELTA };
457
199: I = { `DIV ,`CREG_u ,`CREG_H2 ,`CREG_DELTA };
458
200: I = { `DIV ,`CREG_v ,`CREG_H3 ,`CREG_DELTA };
459
201: I = { `JGEX ,`LABEL_BIU1 ,`CREG_u ,`R1 };
460
202: I = { `NOP ,`RT_FALSE   };
461
203: I = { `RET ,`R99 ,`FALSE  };
462
 
463
//LABEL_BIU1:
464
204: I = { `JGEX ,`LABEL_BIU2 ,`CREG_v ,`R1 };
465
205: I = { `NOP ,`RT_FALSE   };
466
206: I = { `RET ,`R99 ,`FALSE  };
467
 
468
//LABEL_BIU2:
469
207: I = { `ADD ,`R2 ,`CREG_u ,`CREG_v };
470
208: I = { `JLEX ,`LABEL_BIU3 ,`R2 ,`R3 };
471
209: I = { `NOP ,`RT_FALSE   };
472
210: I = { `RET ,`R99 ,`FALSE  };
473
 
474
//LABEL_BIU3:
475
211: I = { `JGEX ,`LABEL_BIU4 ,`CREG_t ,`CREG_LAST_t };
476
212: I = { `COPY ,`CREG_LAST_t ,`CREG_t ,`VOID };
477
213: I = { `COPY ,`CREG_LAST_u ,`CREG_u ,`VOID };
478
214: I = { `COPY ,`CREG_LAST_v ,`CREG_v ,`VOID };
479
215: I = { `COPY ,`CREG_E1_LAST ,`CREG_E1 ,`VOID };
480
216: I = { `COPY ,`CREG_E2_LAST ,`CREG_E2 ,`VOID };
481
217: I = { `COPY ,`CREG_UV0_LAST ,`CREG_UV0 ,`VOID };
482
218: I = { `COPY ,`CREG_UV1_LAST ,`CREG_UV1 ,`VOID };
483
219: I = { `COPY ,`CREG_UV2_LAST ,`CREG_UV2 ,`VOID };
484
220: I = { `COPY ,`CREG_TRI_DIFFUSE_LAST ,`CREG_TRI_DIFFUSE ,`VOID };
485
//LABEL_BIU4:
486
221: I = { `RET ,`R99 ,`TRUE  };
487
 
488
 
489
//-------------------------------------------------------------------------
490
//Calculate the adress of the texure coordiantes.
491
 
492
//TAG_TCC_UCODE_ADDRESS:
493
//Do this calculation only if this triangle is the one closest to the camera
494
222: I = { `JGX ,`LABEL_TCC_EXIT ,`CREG_t ,`CREG_LAST_t };
495
 
496
//First get the UV coodrinates and store in R1
497
//R1x: u_coordinate = U0 + last_u * (U1 - U0) + last_v * (U2 - U0)
498
//R1y: v_coordinate = V0 + last_u * (V1 - V0) + last_v * (V2 - V0)
499
//R1z: 0
500
 
501
223: I = { `SUB ,`R1 ,`CREG_UV1_LAST ,`CREG_UV0_LAST };
502
224: I = { `SUB ,`R2 ,`CREG_UV2_LAST ,`CREG_UV0_LAST };
503
225: I = { `MUL ,`R1 ,`CREG_LAST_u ,`R1 };
504
226: I = { `MUL ,`R2 ,`CREG_LAST_v ,`R2 };
505
227: I = { `ADD ,`R1 ,`R1 ,`R2 };
506
228: I = { `ADD ,`R1 ,`R1 ,`CREG_UV0_LAST };
507
 
508
//R7x : fu = (u_coordinate) * gTexture.mWidth
509
//R7y : fv = (v_coordinate) * gTexture.mWidth
510
//R7z : 0
511
229: I = { `MUL ,`R7 ,`R1 ,`CREG_TEXTURE_SIZE };
512
 
513
//R1x: u1 = ((int)fu) % gTexture.mWidth
514
//R1y: v1 = ((int)fv) % gTexture.mHeight
515
//R1z: 0
516
//R2x: u2 = (u1 + 1 ) % gTexture.mWidth
517
//R2y: v2 = (v2 + 1 ) % gTexture.mHeight
518
//R2z: 0
519
// Notice MOD2 only operates over
520
// numbers that are power of 2 also notice that the
521
// textures are assumed to be squares!
522
//x % 2^n == x & (2^n - 1).
523
 
524
230: I = { `MOD ,`R1 ,`R7 ,`CREG_TEXTURE_SIZE };
525
231: I = { `INC ,`R2 ,`R1 ,`VOID };
526
232: I = { `MOD ,`R2 ,`R2 ,`CREG_TEXTURE_SIZE };
527
 
528
//Cool now we should store the values in the appropiate registers
529
//OREG_TEX_COORD1.x = u1 + v1 * gTexture.mWidth
530
//OREG_TEX_COORD1.y = u2 + v1 * gTexture.mWidth
531
//OREG_TEX_COORD1.z = 0
532
//OREG_TEX_COORD2.x = u1 + v2 * gTexture.mWidth
533
//OREG_TEX_COORD2.y = u2 + v2 * gTexture.mWidth
534
//OREG_TEX_COORD1.z = 0
535
 
536
//R1= [u1 v1 0]
537
//R2= [u2 v2 0]
538
 
539
//R2 = [v2 u2 0]
540
233: I = { `SWIZZLE3D ,`R2 ,`SWIZZLE_YXZ  };
541
 
542
//R3 = [v2 v1 0]
543
234: I = { `XCHANGEX ,`R3 ,`R1 ,`R2 };
544
 
545
 
546
//R4 = [u1 u2 0]
547
235: I = { `XCHANGEX ,`R4 ,`R2 ,`R1 };
548
 
549
//R2 = [v2*H v1*H 0]
550
236: I = { `UNSCALE ,`R9 ,`R3 ,`VOID };
551
237: I = { `UNSCALE ,`R8 ,`CREG_TEXTURE_SIZE ,`VOID };
552
238: I = { `IMUL ,`R2 ,`R9 ,`R8 };
553
 
554
//OREG_TEX_COORD1 = [u1 + v2*H u2 + v1*H 0]
555
//R4 = FixedToIinteger(R4)
556
239: I = { `UNSCALE ,`R4 ,`R4 ,`VOID };
557
240: I = { `ADD ,`R12 ,`R2 ,`R4 };
558
241: I = { `SETX ,`R5 ,32'h3  };
559
242: I = { `SETY ,`R5 ,32'h3  };
560
243: I = { `SETZ ,`R5 ,32'h3  };
561
//Multiply by 3 (the pitch)
562
//IMUL OREG_TEX_COORD1 R12 R5  
563
244: I = { `IMUL ,`CREG_TEX_COORD1 ,`R12 ,`R5 };
564
 
565
//R4 = [u2 u1 0]
566
245: I = { `SWIZZLE3D ,`R4 ,`SWIZZLE_YXZ  };
567
 
568
 
569
//OREG_TEX_COORD2 [u2 + v2*H u1 + v1*H 0]
570
246: I = { `ADD ,`R12 ,`R2 ,`R4 };
571
//Multiply by 3 (the pitch)
572
//IMUL OREG_TEX_COORD2 R12 R5  
573
247: I = { `IMUL ,`CREG_TEX_COORD2 ,`R12 ,`R5 };
574
 
575
 
576
//Cool now get the weights
577
 
578
//w1 = (1 - fracu) * (1 - fracv)
579
//w2 = fracu * (1 - fracv)
580
//w3 = (1 - fracu) * fracv
581
//w4 = fracu *  fracv
582
 
583
//R4x: fracu 
584
//R4y: fracv 
585
//R4z: 0
586
248: I = { `FRAC ,`R4 ,`R7 ,`VOID };
587
 
588
//R5x: fracv 
589
//R5y: fracu 
590
//R5z: 0 
591
249: I = { `COPY ,`R5 ,`R4 ,`VOID };
592
250: I = { `SWIZZLE3D ,`R5 ,`SWIZZLE_YXZ  };
593
 
594
 
595
//R5x: 1 - fracv 
596
//R5y: 1 - fracu 
597
//R5y: 1
598
251: I = { `NEG ,`R5 ,`R5 ,`VOID };
599
252: I = { `INC ,`R5 ,`R5 ,`VOID };
600
 
601
//R5x: 1 - fracv 
602
//R5y: 1 - fracu 
603
//R5y: (1 - fracv)(1 - fracu) 
604
253: I = { `MULP ,`CREG_TEXWEIGHT1 ,`R5 ,`VOID };
605
 
606
//CREG_TEXWEIGHT1.x = (1 - fracv)(1 - fracu) 
607
//CREG_TEXWEIGHT1.y = (1 - fracv)(1 - fracu) 
608
//CREG_TEXWEIGHT1.z = (1 - fracv)(1 - fracu) 
609
254: I = { `SWIZZLE3D ,`CREG_TEXWEIGHT1 ,`SWIZZLE_ZZZ  };
610
 
611
 
612
//R6x: w2: fracu * (1 - fracv )
613
//R6y: w3: fracv * (1 - fracu )
614
//R6z: 0
615
255: I = { `MUL ,`R6 ,`R4 ,`R5 };
616
 
617
//CREG_TEXWEIGHT2.x = fracu * (1 - fracv )
618
//CREG_TEXWEIGHT2.y = fracu * (1 - fracv )
619
//CREG_TEXWEIGHT2.z = fracu * (1 - fracv )
620
256: I = { `COPY ,`CREG_TEXWEIGHT2 ,`R6 ,`VOID };
621
257: I = { `SWIZZLE3D ,`CREG_TEXWEIGHT2 ,`SWIZZLE_XXX  };
622
 
623
//CREG_TEXWEIGHT3.x = fracv * (1 - fracu )
624
//CREG_TEXWEIGHT3.y = fracv * (1 - fracu )
625
//CREG_TEXWEIGHT3.z = fracv * (1 - fracu )
626
258: I = { `COPY ,`CREG_TEXWEIGHT3 ,`R6 ,`VOID };
627
259: I = { `SWIZZLE3D ,`CREG_TEXWEIGHT3 ,`SWIZZLE_YYY  };
628
 
629
 
630
//R4x: fracu
631
//R4y: fracv
632
//R4z: fracu * fracv
633
260: I = { `MULP ,`R4 ,`R4 ,`VOID };
634
 
635
//CREG_TEXWEIGHT4.x = fracv * fracu 
636
//CREG_TEXWEIGHT4.y = fracv * fracu 
637
//CREG_TEXWEIGHT4.z = fracv * fracu 
638
261: I = { `COPY ,`CREG_TEXWEIGHT4 ,`R4 ,`VOID };
639
262: I = { `SWIZZLE3D ,`CREG_TEXWEIGHT4 ,`SWIZZLE_ZZZ  };
640
 
641
 
642
//LABEL_TCC_EXIT:
643
263: I = { `RET ,`R99 ,32'h0  };
644
 
645
 
646
//-------------------------------------------------------------------------
647
//TAG_PSU_UCODE_ADRESS:
648
//Pixel Shader #1
649
//This pixel shader has diffuse light but no textures
650
 
651
 
652
264: I = { `CROSS ,`R1 ,`CREG_E1_LAST ,`CREG_E2_LAST };
653
265: I = { `MAG ,`R2 ,`R1 ,`VOID };
654
266: I = { `DIV ,`R1 ,`R1 ,`R2 };
655
267: I = { `MUL ,`R2 ,`CREG_RAY_DIRECTION ,`CREG_LAST_t };
656
268: I = { `ADD ,`R2 ,`R2 ,`CREG_CAMERA_POSITION };
657
269: I = { `SUB ,`R2 ,`CURRENT_LIGHT_POS ,`R2 };
658
270: I = { `MAG ,`R3 ,`R2 ,`VOID };
659
271: I = { `DIV ,`R2 ,`R2 ,`R3 };
660
272: I = { `DOT ,`R3 ,`R2 ,`R1 };
661
273: I = { `MUL ,`CREG_COLOR_ACC ,`CREG_TRI_DIFFUSE_LAST ,`CURRENT_LIGHT_DIFFUSE };
662
274: I = { `MUL ,`CREG_COLOR_ACC ,`CREG_COLOR_ACC ,`R3 };
663
275: I = { `COPY ,`CREG_TEXTURE_COLOR ,`CREG_COLOR_ACC ,`VOID };
664
276: I = { `NOP ,`RT_FALSE   };
665
277: I = { `NOP ,`RT_FALSE   };
666
278: I = { `NOP ,`RT_FALSE   };
667
279: I = { `RET ,`R99 ,`TRUE  };
668
 
669
//-------------------------------------------------------------------------
670
//Pixel Shader #2
671
//TAG_PSU_UCODE_ADRESS2:
672
//This Pixel Shader has no light but it does texturinng 
673
//with bi-linear interpolation
674
 
675
 
676
 
677
280: I = { `COPY ,`R1 ,`CREG_TEX_COORD1 ,`VOID };
678
281: I = { `COPY ,`R2 ,`CREG_TEX_COORD1 ,`VOID };
679
282: I = { `COPY ,`R3 ,`CREG_TEX_COORD2 ,`VOID };
680
283: I = { `COPY ,`R4 ,`CREG_TEX_COORD2 ,`VOID };
681
 
682
 
683
284: I = { `SWIZZLE3D ,`R1 ,`SWIZZLE_XXX  };
684
285: I = { `SWIZZLE3D ,`R2 ,`SWIZZLE_YYY  };
685
286: I = { `SWIZZLE3D ,`R3 ,`SWIZZLE_XXX  };
686
287: I = { `SWIZZLE3D ,`R4 ,`SWIZZLE_YYY  };
687
288: I = { `ADD ,`R1 ,`R1 ,`CREG_012 };
688
289: I = { `ADD ,`R2 ,`R2 ,`CREG_012 };
689
290: I = { `ADD ,`R3 ,`R3 ,`CREG_012 };
690
291: I = { `ADD ,`R4 ,`R4 ,`CREG_012 };
691
 
692
 
693
292: I = { `TMREAD ,`CREG_TEX_COLOR1 ,`R1 ,`VOID };
694
293: I = { `NOP ,`RT_FALSE   };
695
294: I = { `TMREAD ,`CREG_TEX_COLOR2 ,`R2 ,`VOID };
696
295: I = { `NOP ,`RT_FALSE   };
697
296: I = { `TMREAD ,`CREG_TEX_COLOR3 ,`R3 ,`VOID };
698
297: I = { `NOP ,`RT_FALSE   };
699
298: I = { `TMREAD ,`CREG_TEX_COLOR4 ,`R4 ,`VOID };
700
299: I = { `NOP ,`RT_FALSE   };
701
 
702
 
703
 
704
 
705
//TextureColor.R = c1.R * w1 + c2.R * w2 + c3.R * w3 + c4.R * w4
706
//TextureColor.G = c1.G * w1 + c2.G * w2 + c3.G * w3 + c4.G * w4
707
//TextureColor.B = c1.B * w1 + c2.B * w2 + c3.B * w3 + c4.B * w4
708
 
709
 
710
//MUL R1 CREG_TEX_COLOR4 CREG_TEXWEIGHT1  
711
//MUL R2 CREG_TEX_COLOR2 CREG_TEXWEIGHT2  
712
//MUL R3 CREG_TEX_COLOR1 CREG_TEXWEIGHT3  
713
//MUL R4 CREG_TEX_COLOR3 CREG_TEXWEIGHT4  
714
 
715
300: I = { `MUL ,`R1 ,`CREG_TEX_COLOR3 ,`CREG_TEXWEIGHT1 };
716
301: I = { `MUL ,`R2 ,`CREG_TEX_COLOR2 ,`CREG_TEXWEIGHT2 };
717
302: I = { `MUL ,`R3 ,`CREG_TEX_COLOR1 ,`CREG_TEXWEIGHT3 };
718
303: I = { `MUL ,`R4 ,`CREG_TEX_COLOR4 ,`CREG_TEXWEIGHT4 };
719
 
720
304: I = { `ADD ,`CREG_TEXTURE_COLOR ,`R1 ,`R2 };
721
305: I = { `ADD ,`CREG_TEXTURE_COLOR ,`CREG_TEXTURE_COLOR ,`R3 };
722
306: I = { `ADD ,`CREG_TEXTURE_COLOR ,`CREG_TEXTURE_COLOR ,`R4 };
723
307: I = { `RET ,`R99 ,`TRUE  };
724
 
725
 
726
//-------------------------------------------------------------------------
727
//Default User constants
728
//TAG_USERCONSTANTS:
729
 
730
308: I = { `NOP ,`RT_FALSE   };
731
309: I = { `RETURN ,`RT_FALSE   };
732
 
733
//TAG_PIXELSHADER:
734
//Default Pixel Shader (just outputs texture)
735
310: I = { `OMWRITE ,`OREG_PIXEL_COLOR ,`CREG_CURRENT_OUTPUT_PIXEL ,`CREG_TEXTURE_COLOR };
736
311: I = { `NOP ,`RT_FALSE   };
737
312: I = { `RET ,`R99 ,`TRUE  };
738
313: I = { `NOP ,`RT_FALSE   };
739
 
740
 
741
//-------------------------------------------------------------------------             
742
 
743
 
744
                        default:
745
                        begin
746
 
747
                        `ifdef DEBUG
748
                        $display("%dns CORE %d Error: Reached undefined address in instruction Memory: %d!!!!",$time,iDebug_CoreID,Address);
749
                //      $stop();
750
                        `endif
751
                        I =  {`INSTRUCTION_OP_LENGTH'hFF,16'hFFFF,32'hFFFFFFFF};
752
                        end
753
                        endcase
754
        end
755
endmodule
756 158 diegovalve
//--------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.