OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [tags/] [Beta_0.2/] [rtl/] [MEM/] [Module_ROM.v] - Blame information for rev 63

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 21 diegovalve
 
2
 
3
`define ONE (32'h1 << `SCALE)
4
 
5
`timescale 1ns / 1ps
6
`include "aDefinitions.v"
7
/**********************************************************************************
8
Theia, Ray Cast Programable graphic Processing Unit.
9
Copyright (C) 2010  Diego Valverde (diego.valverde.g@gmail.com)
10
 
11
This program is free software; you can redistribute it and/or
12
modify it under the terms of the GNU General Public License
13
as published by the Free Software Foundation; either version 2
14
of the License, or (at your option) any later version.
15
 
16
This program is distributed in the hope that it will be useful,
17
but WITHOUT ANY WARRANTY; without even the implied warranty of
18
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
19
GNU General Public License for more details.
20
 
21
You should have received a copy of the GNU General Public License
22
along with this program; if not, write to the Free Software
23
Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301, USA.
24
 
25
***********************************************************************************/
26
 
27 60 diegovalve
/*
28
I can't synthesize roms, the rom needs to be adapted depending on the
29
final target silicon.
30
*/
31 21 diegovalve
 
32
 
33
//--------------------------------------------------------
34
module ROM
35
(
36
        input  wire[`ROM_ADDRESS_WIDTH-1:0]              Address,
37
        output reg [`INSTRUCTION_WIDTH-1:0]              I
38
);
39
 
40
 
41
always @( Address )
42
begin
43
                        case (Address)
44
 
45
//Hardcoded stuff :(
46
`define RAY_INSIDE_BOX                          `R3
47
`define CURRENT_LIGHT_POS `CREG_FIRST_LIGTH  //TODO: CAHNEG T 
48
`define CURRENT_LIGHT_DIFFUSE 16'h6
49
 
50
//-----------------------------------------------------------------
51
`define LABEL_DEBUG_PRINT_REGS 16'd221
52
`define TAG_DEBUG_LOG_REGISTERS 16'd221
53
`define TAG_PSU_UCODE_ADRESS2 16'd212
54
`define TAG_PSU_UCODE_ADRESS 16'd196
55
`define LABEL_TCC_EXIT 16'd195
56
`define TAG_TCC_UCODE_ADDRESS 16'd154
57
`define LABEL_BIU4 16'd153
58
`define LABEL_BIU3 16'd143
59
`define LABEL_BIU2 16'd140
60
`define LABEL_BIU1 16'd138
61
`define TAG_BIU_UCODE_ADDRESS 16'd121
62
`define LABEL_HIT 16'd119
63
`define LABEL15 16'd117
64
`define LABEL14 16'd115
65
`define LABEL13 16'd113
66
`define LABEL_TEST_XY_PLANE 16'd108
67
`define LABEL12 16'd106
68
`define LABEL11 16'd104
69
`define LABEL10 16'd102
70
`define LABEL_TEST_XZ_PLANE 16'd96
71
`define LABEL9 16'd94
72
`define LABEL8 16'd92
73
`define LABEL7 16'd90
74
`define LABEL_TEST_YZ_PLANE 16'd84
75
`define LABEL_RAY_INSIDE_BOX 16'd81
76
`define LABEL_ELSEZ 16'd80
77
`define LABEL6 16'd77
78
`define LABEL_ELESE_IFZ 16'd73
79
`define LABEL5 16'd70
80
`define LABEL_TEST_RAY_Z_ORIGEN 16'd66
81
`define LABEL_ELSEY 16'd65
82
`define LABEL4 16'd62
83
`define LABEL_ELESE_IFY 16'd58
84
`define LABEL3 16'd55
85
`define LABEL_TEST_RAY_Y_ORIGEN 16'd51
86
`define LABEL_ELSEX 16'd50
87
`define LABEL2 16'd47
88
`define LABEL_ELSE_IFX 16'd43
89
`define LABEL1 16'd40
90
`define LABEL_TEST_RAY_X_ORIGEN 16'd36
91
`define TAG_AABBIU_UCODE_ADDRESS 16'd33
92
`define LABEL_NPG_NEXT_ROW 16'd30
93
`define TAG_NPG_UCODE_ADDRESS 16'd24
94
`define TAG_RGU_UCODE_ADDRESS 16'd17
95
`define TAG_CPPU_UCODE_ADDRESS 16'd14
96
//This is the Code that loads in THEIAs ROM
97
 
98
//-------------------------------------------------------------------------
99
//Default values for some registers after reset
100
 
101
 
102
 
103 60 diegovalve
 0: I =  { `ZERO ,`CREG_LAST_t ,`VOID ,`VOID };
104 21 diegovalve
 //Set the last 't' to very positive value(500) 
105 60 diegovalve
 1: I =  { `SETX ,`CREG_LAST_t ,32'h1F40000  };
106
2: I =  { `ZERO ,`OREG_PIXEL_COLOR ,`VOID ,`VOID };
107 21 diegovalve
3: I =  { `NOP ,`RT_FALSE   }; //{ `ZERO ,`CREG_PIXEL_2D_POSITION ,`VOID ,`VOID }; 
108 60 diegovalve
4: I =  { `ZERO ,`OREG_PIXEL_PITCH ,`VOID,`VOID};
109
5: I =  { `ZERO ,`R1 ,`VOID ,`VOID };
110
6: I =  { `ZERO ,`R2 ,`VOID ,`VOID };
111
7: I =  { `ZERO ,`R3 ,`VOID ,`VOID };
112
8: I =  { `ZERO ,`R4 ,`VOID ,`VOID };
113
9: I =  { `ZERO ,`R5 ,`VOID ,`VOID };
114 21 diegovalve
 //Harode texture size for now ...
115 60 diegovalve
10: I =  { `NOP ,`RT_FALSE   };//{ `SETX ,`CREG_TEXTURE_SIZE ,32'h1FE0000  }; 
116
11: I =  { `NOP ,`RT_FALSE   };//{ `SETY ,`CREG_TEXTURE_SIZE ,32'h1FE0000  }; 
117
12: I =  { `NOP ,`RT_FALSE   };//{ `SETZ ,`CREG_TEXTURE_SIZE ,32'h1FE0000  }; 
118
13: I =  { `RETURN ,`RT_TRUE   };
119 21 diegovalve
 
120
 
121
//----------------------------------------------------------------------          
122
//Micro code for CPPU
123
//TAG_CPPU_UCODE_ADDRESS:
124
 
125
 
126 60 diegovalve
14: I =  { `SUB ,`R1 ,`CREG_PROJECTION_WINDOW_MAX ,`CREG_PROJECTION_WINDOW_MIN };
127
15: I =  { `DIV ,`CREG_PROJECTION_WINDOW_SCALE ,`R1 ,`CREG_RESOLUTION };
128
16: I =  { `RETURN ,`RT_FALSE   };
129 21 diegovalve
 
130
//----------------------------------------------------------------------          
131
//Micro code for RGU
132
//TAG_RGU_UCODE_ADDRESS:
133
 
134
 
135 60 diegovalve
17: I =  { `MUL ,`R1 ,`CREG_PIXEL_2D_POSITION ,`CREG_PROJECTION_WINDOW_SCALE };
136
18: I =  { `ADD ,`R1 ,`R1 ,`CREG_PROJECTION_WINDOW_MIN };
137
19: I =  { `SUB ,`CREG_UNORMALIZED_DIRECTION ,`R1 ,`CREG_CAMERA_POSITION };
138
20: I =  { `MAG ,`R2 ,`CREG_UNORMALIZED_DIRECTION ,`VOID };
139
21: I =  { `DIV ,`CREG_RAY_DIRECTION ,`CREG_UNORMALIZED_DIRECTION ,`R2 };
140
22: I =  { `DEC,`CREG_LAST_COL,`CREG_RESOLUTION,`VOID};//{ `ZERO ,`R3 ,`VOID ,`VOID }; 
141
23: I =  { `RETURN ,`RT_FALSE   };
142 21 diegovalve
//----------------------------------------------------------------------
143
//Next Pixel generation Code (NPG)
144
//TAG_NPG_UCODE_ADDRESS:
145
 
146 60 diegovalve
24: I =  { `ZERO ,`R1 ,`VOID ,`VOID };
147
25: I =  { `SETX ,`R1 ,32'h00003  };
148
26: I =  { `ADD ,`OREG_PIXEL_PITCH ,`OREG_PIXEL_PITCH ,`R1 };
149 21 diegovalve
 
150 60 diegovalve
27: I =  { `JEQX ,`LABEL_NPG_NEXT_ROW ,`CREG_PIXEL_2D_POSITION ,`CREG_LAST_COL }; //HERE WE ARE CALCULATING ELEMENT 200 :(
151
28: I =  { `INCX ,`CREG_PIXEL_2D_POSITION ,`CREG_PIXEL_2D_POSITION ,`VOID };
152
29: I =  { `RETURN ,`RT_TRUE   };
153 21 diegovalve
//LABEL_NPG_NEXT_ROW:
154 60 diegovalve
30: I =  { `SETX ,`CREG_PIXEL_2D_POSITION ,32'h0  };
155
31: I =  { `INCY ,`CREG_PIXEL_2D_POSITION ,`CREG_PIXEL_2D_POSITION,`VOID  };
156
32: I =  { `RETURN ,`RT_TRUE   };
157 21 diegovalve
 
158
//----------------------------------------------------------------------
159
//Micro code for AABBIU
160
//TAG_AABBIU_UCODE_ADDRESS:
161
 
162 60 diegovalve
33: I =  { `ZERO ,`R3 ,`VOID ,`VOID };
163
34: I =  { `ZERO ,`R5 ,`VOID ,`VOID };
164
35: I =  { `NOP ,`RT_FALSE   };
165 21 diegovalve
 
166
//LABEL_TEST_RAY_X_ORIGEN:
167 60 diegovalve
36: I =  { `JGEX ,`LABEL_ELSE_IFX ,`CREG_CAMERA_POSITION ,`CREG_AABBMIN };
168
37: I =  { `SUB ,`R1 ,`CREG_AABBMIN ,`CREG_CAMERA_POSITION };
169
38: I =  { `JLEX ,`LABEL1 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
170
39: I =  { `RETURN ,`RT_FALSE   };
171 21 diegovalve
 
172
//LABEL1:
173 60 diegovalve
40: I =  { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
174
41: I =  { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
175
42: I =  { `JMP ,`LABEL_TEST_RAY_Y_ORIGEN ,`VOID ,`VOID };
176 21 diegovalve
 
177
//LABEL_ELSE_IFX:
178 60 diegovalve
43: I =  { `JLEX ,`LABEL_ELSEX ,`CREG_CAMERA_POSITION ,`CREG_AABBMAX };
179
44: I =  { `SUB ,`R1 ,`CREG_AABBMAX ,`CREG_CAMERA_POSITION };
180
45: I =  { `JGEX ,`LABEL2 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
181
46: I =  { `RETURN ,`RT_FALSE   };
182 21 diegovalve
 
183
//LABEL2:
184 60 diegovalve
47: I =  { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
185
48: I =  { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
186
49: I =  { `JMP ,`LABEL_TEST_RAY_Y_ORIGEN ,`VOID ,`VOID };
187 21 diegovalve
//LABEL_ELSEX:
188 60 diegovalve
50: I =  { `SETX ,`R5 ,32'b1  };
189 21 diegovalve
 
190
//LABEL_TEST_RAY_Y_ORIGEN:
191 60 diegovalve
51: I =  { `JGEY ,`LABEL_ELESE_IFY ,`CREG_CAMERA_POSITION ,`CREG_AABBMIN };
192
52: I =  { `SUB ,`R1 ,`CREG_AABBMIN ,`CREG_CAMERA_POSITION };
193
53: I =  { `JLEY ,`LABEL3 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
194
54: I =  { `RETURN ,`RT_FALSE   };
195 21 diegovalve
 
196
//LABEL3:
197 60 diegovalve
55: I =  { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
198
56: I =  { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
199
57: I =  { `JMP ,`LABEL_TEST_RAY_Z_ORIGEN ,`VOID ,`VOID };
200 21 diegovalve
 
201
//LABEL_ELESE_IFY:
202 60 diegovalve
58: I =  { `JLEY ,`LABEL_ELSEY ,`CREG_CAMERA_POSITION ,`CREG_AABBMAX };
203
59: I =  { `SUB ,`R1 ,`CREG_AABBMAX ,`CREG_CAMERA_POSITION };
204
60: I =  { `JGEY ,`LABEL4 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
205
61: I =  { `RETURN ,`RT_FALSE   };
206 21 diegovalve
 
207
//LABEL4:
208 60 diegovalve
62: I =  { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
209
63: I =  { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
210
64: I =  { `JMP ,`LABEL_TEST_RAY_Z_ORIGEN ,`VOID ,`VOID };
211 21 diegovalve
 
212
//LABEL_ELSEY:
213 60 diegovalve
65: I =  { `SETY ,`R5 ,32'b1  };
214 21 diegovalve
 
215
//LABEL_TEST_RAY_Z_ORIGEN:
216 60 diegovalve
66: I =  { `JGEZ ,`LABEL_ELESE_IFZ ,`CREG_CAMERA_POSITION ,`CREG_AABBMIN };
217
67: I =  { `SUB ,`R1 ,`CREG_AABBMIN ,`CREG_CAMERA_POSITION };
218
68: I =  { `JLEZ ,`LABEL5 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
219
69: I =  { `RETURN ,`RT_FALSE   };
220 21 diegovalve
 
221
//LABEL5:
222 60 diegovalve
70: I =  { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
223
71: I =  { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
224
72: I =  { `JMP ,`LABEL_RAY_INSIDE_BOX ,`VOID ,`VOID };
225 21 diegovalve
 
226
//LABEL_ELESE_IFZ:
227 60 diegovalve
73: I =  { `JLEZ ,`LABEL_ELSEZ ,`CREG_CAMERA_POSITION ,`CREG_AABBMAX };
228
74: I =  { `SUB ,`R1 ,`CREG_AABBMAX ,`CREG_CAMERA_POSITION };
229
75: I =  { `JGEZ ,`LABEL6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
230
76: I =  { `RETURN ,`RT_FALSE   };
231 21 diegovalve
 
232
//LABEL6:
233 60 diegovalve
77: I =  { `SETX ,`RAY_INSIDE_BOX ,32'd0  };
234
78: I =  { `DIV ,`R6 ,`R1 ,`CREG_UNORMALIZED_DIRECTION };
235
79: I =  { `JMP ,`LABEL_RAY_INSIDE_BOX ,`VOID ,`VOID };
236 21 diegovalve
 
237
//LABEL_ELSEZ:
238 60 diegovalve
80: I =  { `SETZ ,`R5 ,32'b1  };
239 21 diegovalve
 
240
//LABEL_RAY_INSIDE_BOX:
241 60 diegovalve
81: I =  { `ZERO ,`R1 ,`VOID ,`VOID };
242
82: I =  { `JEQX ,`LABEL_TEST_YZ_PLANE ,`R1 ,`RAY_INSIDE_BOX };
243
83: I =  { `RETURN ,`RT_TRUE   };
244 21 diegovalve
 
245
//LABEL_TEST_YZ_PLANE:
246 60 diegovalve
84: I =  { `JNEX ,`LABEL_TEST_XZ_PLANE ,`R5 ,`R1 };
247
85: I =  { `SWIZZLE3D ,`R6 ,`SWIZZLE_XXX  };
248
86: I =  { `MUL ,`R2 ,`CREG_UNORMALIZED_DIRECTION ,`R6 };
249
87: I =  { `ADD ,`R2 ,`R2 ,`CREG_CAMERA_POSITION };
250
88: I =  { `JGEY ,`LABEL7 ,`R2 ,`CREG_AABBMIN };
251
89: I =  { `RETURN ,`RT_FALSE   };
252 21 diegovalve
 
253
//LABEL7:
254 60 diegovalve
90: I =  { `JLEY ,`LABEL8 ,`R2 ,`CREG_AABBMAX };
255
91: I =  { `RETURN ,`RT_FALSE   };
256 21 diegovalve
 
257
//LABEL8:
258 60 diegovalve
92: I =  { `JGEZ ,`LABEL9 ,`R2 ,`CREG_AABBMIN };
259
93: I =  { `RETURN ,`RT_FALSE   };
260 21 diegovalve
 
261
//LABEL9:
262 60 diegovalve
94: I =  { `JLEZ ,`LABEL_TEST_XZ_PLANE ,`R2 ,`CREG_AABBMAX };
263
95: I =  { `RETURN ,`RT_FALSE   };
264 21 diegovalve
 
265
//LABEL_TEST_XZ_PLANE:
266 60 diegovalve
96: I =  { `JNEY ,`LABEL_TEST_XY_PLANE ,`R5 ,`R1 };
267
97: I =  { `SWIZZLE3D ,`R6 ,`SWIZZLE_YYY  };
268
98: I =  { `MUL ,`R2 ,`CREG_UNORMALIZED_DIRECTION ,`R6 };
269
99: I =  { `ADD ,`R2 ,`R2 ,`CREG_CAMERA_POSITION };
270
100: I =  { `JGEX ,`LABEL10 ,`R2 ,`CREG_AABBMIN };
271
101: I =  { `RETURN ,`RT_FALSE   };
272 21 diegovalve
 
273
//LABEL10:
274 60 diegovalve
102: I =  { `JLEX ,`LABEL11 ,`R2 ,`CREG_AABBMAX };
275
103: I =  { `RETURN ,`RT_FALSE   };
276 21 diegovalve
 
277
//LABEL11:
278 60 diegovalve
104: I =  { `JGEZ ,`LABEL12 ,`R2 ,`CREG_AABBMIN };
279
105: I =  { `RETURN ,`RT_FALSE   };
280 21 diegovalve
 
281
//LABEL12:
282 60 diegovalve
106: I =  { `JLEZ ,`LABEL_TEST_XY_PLANE ,`R2 ,`CREG_AABBMAX };
283
107: I =  { `RETURN ,`RT_FALSE   };
284 21 diegovalve
 
285
//LABEL_TEST_XY_PLANE:
286 60 diegovalve
108: I =  { `SWIZZLE3D ,`R6 ,`SWIZZLE_ZZZ  };
287
109: I =  { `MUL ,`R2 ,`CREG_UNORMALIZED_DIRECTION ,`R6 };
288
110: I =  { `ADD ,`R2 ,`R2 ,`CREG_CAMERA_POSITION };
289
111: I =  { `JGEX ,`LABEL13 ,`R2 ,`CREG_AABBMIN };
290
112: I =  { `RETURN ,`RT_FALSE   };
291 21 diegovalve
 
292
//LABEL13:
293 60 diegovalve
113: I =  { `JLEX ,`LABEL14 ,`R2 ,`CREG_AABBMAX };
294
114: I =  { `RETURN ,`RT_FALSE   };
295 21 diegovalve
 
296
//LABEL14:
297 60 diegovalve
115: I =  { `JGEY ,`LABEL15 ,`R2 ,`CREG_AABBMIN };
298
116: I =  { `RETURN ,`RT_FALSE   };
299 21 diegovalve
 
300
//LABEL15:
301 60 diegovalve
117: I =  { `JLEY ,`LABEL_HIT ,`R2 ,`CREG_AABBMAX };
302
118: I =  { `RETURN ,`RT_FALSE   };
303 21 diegovalve
 
304
//LABEL_HIT:
305 60 diegovalve
119: I =  { `SETX ,`CREG_LAST_t ,32'h1F40000  };
306
120: I =  { `RETURN ,`RT_TRUE   };
307 21 diegovalve
 
308
 //------------------------------------------------------------------------
309
 //BIU Micro code
310
//TAG_BIU_UCODE_ADDRESS:
311
 
312 60 diegovalve
121: I =  { `ZERO ,`OREG_PIXEL_COLOR ,`VOID ,`VOID };
313
122: I =  { `SETX ,`R3 ,`ONE  };
314
123: I =  { `SETX ,`R1 ,32'h00000  };
315
124: I =  { `SUB ,`CREG_E1 ,`CREG_V1 ,`CREG_V0 };
316
125: I =  { `SUB ,`CREG_E2 ,`CREG_V2 ,`CREG_V0 };
317
126: I =  { `SUB ,`CREG_T ,`CREG_CAMERA_POSITION ,`CREG_V0 };
318
127: I =  { `CROSS ,`CREG_P ,`CREG_RAY_DIRECTION ,`CREG_E2 };
319
128: I =  { `CROSS ,`CREG_Q ,`CREG_T ,`CREG_E1 };
320
129: I =  { `DOT ,`CREG_H1 ,`CREG_Q ,`CREG_E2 };
321
130: I =  { `DOT ,`CREG_H2 ,`CREG_P ,`CREG_T };
322
131: I =  { `DOT ,`CREG_H3 ,`CREG_Q ,`CREG_RAY_DIRECTION };
323
132: I =  { `DOT ,`CREG_DELTA ,`CREG_P ,`CREG_E1 };
324
133: I =  { `DIV ,`CREG_t ,`CREG_H1 ,`CREG_DELTA };
325
134: I =  { `DIV ,`CREG_u ,`CREG_H2 ,`CREG_DELTA };
326
135: I =  { `DIV ,`CREG_v ,`CREG_H3 ,`CREG_DELTA };
327
136: I =  { `JGEX ,`LABEL_BIU1 ,`CREG_u ,`R1 };
328
137: I =  { `RETURN ,`RT_FALSE   };
329 21 diegovalve
 
330
//LABEL_BIU1:
331 60 diegovalve
138: I =  { `JGEX ,`LABEL_BIU2 ,`CREG_v ,`R1 };
332
139: I =  { `RETURN ,`RT_FALSE   };
333 21 diegovalve
 
334
//LABEL_BIU2:
335 60 diegovalve
140: I =  { `ADD ,`R2 ,`CREG_u ,`CREG_v };
336
141: I =  { `JLEX ,`LABEL_BIU3 ,`R2 ,`R3 };
337
142: I =  { `RETURN ,`RT_FALSE   };
338 21 diegovalve
 
339
//LABEL_BIU3:
340 60 diegovalve
143: I =  { `JGEX ,`LABEL_BIU4 ,`CREG_t ,`CREG_LAST_t };
341
144: I =  { `COPY ,`CREG_LAST_t ,`CREG_t ,`VOID };
342
145: I =  { `COPY ,`CREG_LAST_u ,`CREG_u ,`VOID };
343
146: I =  { `COPY ,`CREG_LAST_v ,`CREG_v ,`VOID };
344
147: I =  { `COPY ,`CREG_E1_LAST ,`CREG_E1 ,`VOID };
345
148: I =  { `COPY ,`CREG_E2_LAST ,`CREG_E2 ,`VOID };
346
149: I =  { `COPY ,`CREG_UV0_LAST ,`CREG_UV0 ,`VOID };
347
150: I =  { `COPY ,`CREG_UV1_LAST ,`CREG_UV1 ,`VOID };
348
151: I =  { `COPY ,`CREG_UV2_LAST ,`CREG_UV2 ,`VOID };
349
152: I =  { `COPY ,`CREG_TRI_DIFFUSE_LAST ,`CREG_TRI_DIFFUSE ,`VOID };
350 21 diegovalve
//LABEL_BIU4:
351 60 diegovalve
153: I =  { `RETURN ,`RT_TRUE   };
352 21 diegovalve
 
353
 
354
//-------------------------------------------------------------------------
355
//Calculate the adress of the texure coordiantes.
356
 
357
//TAG_TCC_UCODE_ADDRESS:
358
//Do this calculation only if this triangle is the one closest to the camera
359 60 diegovalve
154: I =  { `JGX ,`LABEL_TCC_EXIT ,`CREG_t ,`CREG_LAST_t };
360 21 diegovalve
 
361
//First get the UV coodrinates and store in R1
362
//R1x: u_coordinate = U0 + last_u * (U1 - U0) + last_v * (U2 - U0);
363
//R1y: v_coordinate = V0 + last_u * (V1 - V0) + last_v * (V2 - V0);
364
//R1z: 0
365
 
366 60 diegovalve
155: I =  { `SUB ,`R1 ,`CREG_UV1_LAST ,`CREG_UV0_LAST };
367
156: I =  { `SUB ,`R2 ,`CREG_UV2_LAST ,`CREG_UV0_LAST };
368
157: I =  { `MUL ,`R1 ,`CREG_LAST_u ,`R1 };
369
158: I =  { `MUL ,`R2 ,`CREG_LAST_v ,`R2 };
370
159: I =  { `ADD ,`R1 ,`R1 ,`R2 };
371
160: I =  { `ADD ,`R1 ,`R1 ,`CREG_UV0 };
372 21 diegovalve
 
373
//R7x : fu = (u_coordinate) * gTexture.mWidth;
374
//R7y : fv = (v_coordinate) * gTexture.mWidth;
375
//R7z : 0
376 60 diegovalve
161: I =  { `MUL ,`R7 ,`R1 ,`CREG_TEXTURE_SIZE };
377 21 diegovalve
 
378
//R1x: u1 = ((int)fu) % gTexture.mWidth;
379
//R1y: v1 = ((int)fv) % gTexture.mHeight;
380
//R1z: 0
381
//R2x: u2 = (u1 + 1 ) % gTexture.mWidth;
382
//R2y: v2 = (v2 + 1 ) % gTexture.mHeight;
383
//R2z: 0
384
// Notice MOD2 only operates over
385
// numbers that are power of 2, also notice that the
386
// textures are assumed to be squares!
387
//x % 2^n == x & (2^n - 1).
388
 
389 60 diegovalve
162: I =  { `MOD ,`R1 ,`R7 ,`CREG_TEXTURE_SIZE };
390
163: I =  { `INC ,`R2 ,`R1 ,`VOID };
391
164: I =  { `MOD ,`R2 ,`R2 ,`CREG_TEXTURE_SIZE };
392 21 diegovalve
 
393
//Cool, now we should store the values in the appropiate registers
394
//OREG_TEX_COORD1.x = u1 + v1 * gTexture.mWidth
395
//OREG_TEX_COORD1.y = u2 + v1 * gTexture.mWidth
396
//OREG_TEX_COORD1.z = 0
397
//OREG_TEX_COORD2.x = u1 + v2 * gTexture.mWidth
398
//OREG_TEX_COORD2.y = u2 + v2 * gTexture.mWidth
399
//OREG_TEX_COORD1.z = 0
400
 
401
//R1= [u1, v1, 0]
402
//R2= [u2, v2, 0]
403
 
404
//R2 = [v2, u2, 0]
405 60 diegovalve
165: I =  { `SWIZZLE3D ,`R2 ,`SWIZZLE_YXZ  };
406 21 diegovalve
 
407
//R3 = [v2 v1 0]
408 60 diegovalve
166: I =  { `XCHANGEX ,`R3 ,`R1 ,`R2 };
409 21 diegovalve
 
410
 
411
//R4 = [u1, u2 0]
412 60 diegovalve
167: I =  { `XCHANGEX ,`R4 ,`R2 ,`R1 };
413 21 diegovalve
 
414
//R2 = [v2*H, v1*H, 0]
415
//R2 = FixedToInteger(R3*CREG_TEXTURE_SIZE)
416 60 diegovalve
168: I =  { `UNSCALE ,`R9 ,`R3 ,`VOID };
417
169: I =  { `UNSCALE ,`R8 ,`CREG_TEXTURE_SIZE ,`VOID };
418
170: I =  { `IMUL ,`R2 ,`R9 ,`R8 };
419 21 diegovalve
 
420
//OREG_TEX_COORD1 = [u1 + v2*H, u2 + v1*H, 0]
421
//R4 = FixedToIinteger(R4)
422 60 diegovalve
171: I =  { `UNSCALE ,`R4 ,`R4 ,`VOID };
423
172: I =  { `ADD ,`OREG_TEX_COORD1 ,`R2 ,`R4 };
424
173: I =  { `SETX ,`R5 ,32'h3  };
425
174: I =  { `SETY ,`R5 ,32'h3  };
426
175: I =  { `SETZ ,`R5 ,32'h3  };
427 21 diegovalve
//Multiply by 3 (the pitch)
428 60 diegovalve
176: I =  { `IMUL ,`OREG_TEX_COORD1 ,`OREG_TEX_COORD1 ,`R5 };
429 21 diegovalve
 
430
//R4 = [u2 u1 0]
431 60 diegovalve
177: I =  { `SWIZZLE3D ,`R4 ,`SWIZZLE_YXZ  };
432 21 diegovalve
 
433
 
434
//OREG_TEX_COORD2 [u2 + v2*H, u1 + v1*H, 0]
435 60 diegovalve
178: I =  { `ADD ,`OREG_TEX_COORD2 ,`R2 ,`R4 };
436 21 diegovalve
//Multiply by 3 (the pitch)
437 60 diegovalve
179: I =  { `IMUL ,`OREG_TEX_COORD2 ,`OREG_TEX_COORD2 ,`R5 };
438 21 diegovalve
 
439
 
440
//Cool now get the weights
441
 
442
//w1 = (1 - fracu) * (1 - fracv);
443
//w2 = fracu * (1 - fracv);
444
//w3 = (1 - fracu) * fracv;
445
//w4 = fracu *  fracv;
446
 
447
//R4x: fracu 
448
//R4y: fracv 
449
//R4z: 0
450 60 diegovalve
180: I =  { `FRAC ,`R4 ,`R7 ,`VOID };
451 21 diegovalve
 
452
//R5x: fracv 
453
//R5y: fracu 
454
//R5z: 0 
455 60 diegovalve
181: I =  { `COPY ,`R5 ,`R4 ,`VOID };
456
182: I =  { `SWIZZLE3D ,`R5 ,`SWIZZLE_YXZ  };
457 21 diegovalve
 
458
 
459
//R5x: 1 - fracv 
460
//R5y: 1 - fracu 
461
//R5y: 1
462 60 diegovalve
183: I =  { `NEG ,`R5 ,`R5 ,`VOID };
463
184: I =  { `INC ,`R5 ,`R5 ,`VOID };
464 21 diegovalve
 
465
//R5x: 1 - fracv 
466
//R5y: 1 - fracu 
467
//R5y: (1 - fracv)(1 - fracu) 
468 60 diegovalve
185: I =  { `MULP ,`OREG_TEXWEIGHT1 ,`R5 ,`VOID };
469 21 diegovalve
 
470
//OREG_TEXWEIGHT1.x = (1 - fracv)(1 - fracu) 
471
//OREG_TEXWEIGHT1.y = (1 - fracv)(1 - fracu) 
472
//OREG_TEXWEIGHT1.z = (1 - fracv)(1 - fracu) 
473 60 diegovalve
186: I =  { `SWIZZLE3D ,`OREG_TEXWEIGHT1 ,`SWIZZLE_ZZZ  };
474 21 diegovalve
 
475
 
476
//R6x: w2: fracu * (1 - fracv )
477
//R6y: w3: fracv * (1 - fracu )
478
//R6z: 0
479 60 diegovalve
187: I =  { `MUL ,`R6 ,`R4 ,`R5 };
480 21 diegovalve
 
481
//OREG_TEXWEIGHT2.x = fracu * (1 - fracv )
482
//OREG_TEXWEIGHT2.y = fracu * (1 - fracv )
483
//OREG_TEXWEIGHT2.z = fracu * (1 - fracv )
484 60 diegovalve
188: I =  { `COPY ,`OREG_TEXWEIGHT2 ,`R6 ,`VOID };
485
189: I =  { `SWIZZLE3D ,`OREG_TEXWEIGHT2 ,`SWIZZLE_XXX  };
486 21 diegovalve
 
487
//OREG_TEXWEIGHT3.x = fracv * (1 - fracu )
488
//OREG_TEXWEIGHT3.y = fracv * (1 - fracu )
489
//OREG_TEXWEIGHT3.z = fracv * (1 - fracu )
490 60 diegovalve
190: I =  { `COPY ,`OREG_TEXWEIGHT3 ,`R6 ,`VOID };
491
191: I =  { `SWIZZLE3D ,`OREG_TEXWEIGHT3 ,`SWIZZLE_YYY  };
492 21 diegovalve
 
493
 
494
//R4x: fracu
495
//R4y: fracv
496
//R4z: fracu * fracv
497 60 diegovalve
192: I =  { `MULP ,`R4 ,`R4 ,`VOID };
498 21 diegovalve
 
499
//OREG_TEXWEIGHT4.x = fracv * fracu 
500
//OREG_TEXWEIGHT4.y = fracv * fracu 
501
//OREG_TEXWEIGHT4.z = fracv * fracu 
502 60 diegovalve
193: I =  { `COPY ,`OREG_TEXWEIGHT4 ,`R4 ,`VOID };
503
194: I =  { `SWIZZLE3D ,`OREG_TEXWEIGHT4 ,`SWIZZLE_ZZZ  };
504 21 diegovalve
 
505
 
506
//LABEL_TCC_EXIT:
507 60 diegovalve
195: I =  { `RETURN ,`RT_TRUE   };
508 21 diegovalve
 
509
 
510
//-------------------------------------------------------------------------
511
//TAG_PSU_UCODE_ADRESS:
512
//Pixel Shader #1
513
//This pixel shader has diffuse light but no textures
514
 
515
 
516 60 diegovalve
196: I =  { `CROSS ,`R1 ,`CREG_E1_LAST ,`CREG_E2_LAST };
517
197: I =  { `MAG ,`R2 ,`R1 ,`VOID };
518
198: I =  { `DIV ,`R1 ,`R1 ,`R2 };
519
199: I =  { `MUL ,`R2 ,`CREG_RAY_DIRECTION ,`CREG_LAST_t };
520
200: I =  { `ADD ,`R2 ,`R2 ,`CREG_CAMERA_POSITION };
521
201: I =  { `SUB ,`R2 ,`CURRENT_LIGHT_POS ,`R2 };
522
202: I =  { `MAG ,`R3 ,`R2 ,`VOID };
523
203: I =  { `DIV ,`R2 ,`R2 ,`R3 };
524
204: I =  { `DOT ,`R3 ,`R2 ,`R1 };
525
205: I =  { `MUL ,`CREG_COLOR_ACC ,`CREG_TRI_DIFFUSE_LAST ,`CURRENT_LIGHT_DIFFUSE };
526
206: I =  { `MUL ,`CREG_COLOR_ACC ,`CREG_COLOR_ACC ,`R3 };
527 63 diegovalve
207: I =  { `COPY ,`CREG_TEXTURE_COLOR ,`CREG_COLOR_ACC ,`VOID };
528 60 diegovalve
208: I =  { `JLEX ,`LABEL_DEBUG_PRINT_REGS ,`CREG_COLOR_ACC ,`R3 };
529
209: I =  { `JMP ,`LABEL_DEBUG_PRINT_REGS ,`VOID ,`VOID };
530
210: I =  { `NOP ,`RT_FALSE   };//{ `INC ,`OREG_PIXEL_PITCH ,`VOID  }; 
531
211: I =  { `RETURN ,`RT_TRUE   };
532 21 diegovalve
 
533
//-------------------------------------------------------------------------
534
//Pixel Shader #2
535
//TAG_PSU_UCODE_ADRESS2:
536
 
537
 
538
//This Pixel Shader has no light but it does texturinng 
539
//with bi-linear interpolation
540
 
541
//CColor TextureColor;
542
//TextureColor.R = c1.R * w1 + c2.R * w2 + c3.R * w3 + c4.R * w4;
543
//TextureColor.G = c1.G * w1 + c2.G * w2 + c3.G * w3 + c4.G * w4;
544
//TextureColor.B = c1.B * w1 + c2.B * w2 + c3.B * w3 + c4.B * w4;
545
 
546 60 diegovalve
212: I =  { `MUL ,`R1 ,`CREG_TEX_COLOR5 ,`OREG_TEXWEIGHT1 };
547
213: I =  { `MUL ,`R2 ,`CREG_TEX_COLOR2 ,`OREG_TEXWEIGHT2 };
548
214: I =  { `MUL ,`R3 ,`CREG_TEX_COLOR1 ,`OREG_TEXWEIGHT3 };
549
215: I =  { `MUL ,`R4 ,`CREG_TEX_COLOR4 ,`OREG_TEXWEIGHT4 };
550 63 diegovalve
216: I =  { `ADD ,`CREG_TEXTURE_COLOR ,`R1 ,`R2 };
551
217: I =  { `ADD ,`CREG_TEXTURE_COLOR ,`CREG_TEXTURE_COLOR ,`R3 };
552
218: I =  { `ADD ,`CREG_TEXTURE_COLOR ,`CREG_TEXTURE_COLOR ,`R4 };
553
219: I =  { `RETURN ,`RT_TRUE   };
554 21 diegovalve
 
555
 
556 60 diegovalve
220: I =  { `RETURN ,`RT_TRUE   };
557 21 diegovalve
 
558 63 diegovalve
//-------------------------------------------------------------------------
559
//Default User constants
560
221: I =  { `NOP ,`RT_FALSE   };
561
222: I = { `RETURN ,`RT_TRUE   };
562
//Default Pixel Shader (just outputs texture)
563
223: I = {`COPY ,`OREG_PIXEL_COLOR,`CREG_TEXTURE_COLOR,`VOID};
564
224: I = { `RETURN ,`RT_TRUE   };
565 21 diegovalve
//-------------------------------------------------------------------------             
566
 
567
 
568
                        default:
569
                        begin
570
 
571
                        `ifdef DEBUG
572
                        $display("Error: Reached undefined address in instruction Memory: %d!!!!",Address);
573 60 diegovalve
                //      $stop();
574 21 diegovalve
                        `endif
575 60 diegovalve
                        I =  {`INSTRUCTION_OP_LENGTH'hFF,16'hFFFF,32'hFFFFFFFF};
576 21 diegovalve
                        end
577
                        endcase
578
        end
579
endmodule
580
//--------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.