OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [trunk/] [examples/] [scenes/] [example1/] [Params.mem] - Blame information for rev 145

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 diegovalve
2A                              //Configuration octect count
2
 
3
 
4
fff80000 fff80000 0     //,, 
5
80000 80000 0           //,, 
6
1900000 1900000 0       //, ,
7
2000000 2000000 0       //texture widht /height
8
 
9
1900000  640000 0
10
 
11
1900000  C80000 0
12
 
13
1900000  12C0000 0
14
 
15
1900000 1900000 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.