OpenCores
URL https://opencores.org/ocsvn/thor/thor/trunk

Subversion Repositories thor

[/] [thor/] [trunk/] [FT64/] [rtl/] [bench/] [FT64SoC_tb2.v] - Blame information for rev 46

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 46 robfinch
 
2
module FT64SoC_tb2();
3
reg rst;
4
reg clk;
5
reg irq;
6
wire [7:0] led;
7
 
8
initial begin
9
    rst = 0;
10
    clk = 0;
11
    irq = 0;
12
    #10 rst = 1;
13
    #50 rst = 0;
14
    #6000 irq = 1;
15
    #2000 irq = 0;
16
end
17
 
18
always #5 clk = ~clk;
19
//always #4000 irq = ~irq;
20
 
21
FT64SoC usoc1 (
22
    .cpu_resetn(~rst),
23
    .xclk(clk),
24
    .led(led),
25
    .sw(8'h00),
26
    .irq(irq),
27
    .TMDS_OUT_clk_p(),
28
    .TMDS_OUT_clk_n(),
29
    .TMDS_OUT_data_p(),
30
    .TMDS_OUT_data_n()
31
);
32
 
33
 
34
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.