OpenCores
URL https://opencores.org/ocsvn/thor/thor/trunk

Subversion Repositories thor

[/] [thor/] [trunk/] [FT64v7/] [rtl/] [common/] [FT64_mpu.v] - Blame information for rev 60

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 60 robfinch
`timescale 1ns / 1ps
2
// ============================================================================
3
//        __
4
//   \\__/ o\    (C) 2017-2018  Robert Finch, Waterloo
5
//    \  __ /    All rights reserved.
6
//     \/_//     robfinch<remove>@finitron.ca
7
//       ||
8
//
9
//      FT64_MPU.v
10
//              
11
//
12
// This source file is free software: you can redistribute it and/or modify 
13
// it under the terms of the GNU Lesser General Public License as published 
14
// by the Free Software Foundation, either version 3 of the License, or     
15
// (at your option) any later version.                                      
16
//                                                                          
17
// This source file is distributed in the hope that it will be useful,      
18
// but WITHOUT ANY WARRANTY; without even the implied warranty of           
19
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            
20
// GNU General Public License for more details.                             
21
//                                                                          
22
// You should have received a copy of the GNU General Public License        
23
// along with this program.  If not, see <http://www.gnu.org/licenses/>.    
24
//                                                                          
25
//
26
// ============================================================================
27
//
28
//`define CARD_MEMORY   1'b1
29
 
30
module FT64_mpu(hartid_i,rst_i, clk4x_i, clk_i, tm_clk_i,
31
        pit_clk2, pit_gate2, pit_out2,
32
        irq_o,
33
    i1,i2,i3,i4,i5,i6,i7,i8,i9,i10,i11,i12,i13,i14,i15,i16,i17,i18,i19,
34
    i20,i21,i22,i23,i24,i25,i26,i27,i28,
35
        cti_o,bte_o,bok_i,cyc_o,stb_o,ack_i,err_i,we_o,sel_o,adr_o,dat_o,dat_i,
36
        sr_o, cr_o, rb_i);
37
input [63:0] hartid_i;
38
input rst_i;
39
input clk4x_i;
40
input clk_i;
41
input tm_clk_i;
42
input pit_clk2;
43
input pit_gate2;
44
output pit_out2;
45
output [3:0] irq_o;
46
input i1;
47
input i2;
48
input i3;
49
input i4;
50
input i5;
51
input i6;
52
input i7;
53
input i8;
54
input i9;
55
input i10;
56
input i11;
57
input i12;
58
input i13;
59
input i14;
60
input i15;
61
input i16;
62
input i17;
63
input i18;
64
input i19;
65
input i20;
66
input i21;
67
input i22;
68
input i23;
69
input i24;
70
input i25;
71
input i26;
72
input i27;
73
input i28;
74
output reg [2:0] cti_o;
75
output reg [1:0] bte_o;
76
input bok_i;
77
output cyc_o;
78
output reg stb_o;
79
input ack_i;
80
input err_i;
81
output we_o;
82
output reg [7:0] sel_o;
83
output [31:0] adr_o;
84
output reg [63:0] dat_o;
85
input [63:0] dat_i;
86
output sr_o;
87
output cr_o;
88
input rb_i;
89
 
90
wire [3:0] cti;
91
wire [2:0] bte;
92
wire cyc,stb,we;
93
wire [7:0] sel;
94
wire [63:0] adr;
95
reg [63:0] dati;
96
wire [63:0] dato;
97
wire [3:0] irq;
98
wire [7:0] cause;
99
wire pic_ack;
100
wire [31:0] pic_dato;
101
wire pit_ack;
102
wire [31:0] pit_dato;
103
wire pit_out0, pit_out1;
104
wire crd_ack;
105
wire [63:0] crd_dato;
106
reg ack;
107
wire [63:0] ipt_dato;
108
wire ipt_ack;
109
wire [1:0] ol;
110
wire [31:0] pcr;
111
wire [63:0] pcr2;
112
wire icl;           // instruction cache load
113
wire exv,rdv,wrv;
114
wire pulse60;
115
wire sptr_o;
116
wire [63:0] pkeys;
117
 
118
always @(posedge clk_i)
119
        cti_o <= cti;
120
always @(posedge clk_i)
121
        bte_o <= bte;
122
//always @(posedge clk_i)
123
//      cyc_o <= cyc;
124
always @(posedge clk_i)
125
        stb_o <= stb;
126
//always @(posedge clk_i)
127
//      we_o <= we;
128
always @(posedge clk_i)
129
        sel_o <= sel;
130
//always @(posedge clk_i)
131
//      adr_o <= adr;
132
always @(posedge clk_i)
133
        dat_o <= dato;
134
 
135
wire cs_pit = adr[31:8]==24'hFFDC11;
136
wire cs_ipt = adr[31:8]==24'hFFDCD0;
137
`ifdef CARD_MEMORY
138
wire cs_crd = adr[31:11]==21'd0;        // $00000000 in virtual address space
139
`else
140
wire cs_crd = 1'b0;
141
`endif
142
 
143
// Need to recreate the a2 address bit for 32 bit peripherals.
144
wire [31:0] adr32 = {adr[31:3],|sel[7:4],2'b00};
145
wire [31:0] dat32 = |sel[7:4] ? dato[63:32] : dato[31:0];
146
 
147
FT64_pit upit1
148
(
149
        .rst_i(rst_i),
150
        .clk_i(clk_i),
151
        .cs_i(cs_pit),
152
        .cyc_i(cyc_o),
153
        .stb_i(stb_o),
154
        .ack_o(pit_ack),
155
        .sel_i(sel_o[7:4]|sel_o[3:0]),
156
        .we_i(we_o),
157
        .adr_i(adr32[5:0]),
158
        .dat_i(dat32),
159
        .dat_o(pit_dato),
160
        .clk0(1'b0),
161
        .gate0(1'b0),
162
        .out0(pit_out0),
163
        .clk1(1'b0),
164
        .gate1(1'b0),
165
        .out1(pit_out1),
166
        .clk2(1'b0),
167
        .gate2(1'b0),
168
        .out2(pit_out2)
169
);
170
 
171
FT64_pic upic1
172
(
173
        .rst_i(rst_i),          // reset
174
        .clk_i(clk_i),          // system clock
175
        .cyc_i(cyc_o),
176
        .stb_i(stb_o),
177
        .ack_o(pic_ack),    // controller is ready
178
        .wr_i(we_o),            // write
179
        .adr_i(adr32),          // address
180
        .dat_i(dat32),
181
        .dat_o(pic_dato),
182
        .vol_o(),                       // volatile register selected
183
        .i1(i1),
184
        .i2(i2),
185
        .i3(i3),
186
        .i4(i4),
187
        .i5(i5),
188
        .i6(i6),
189
        .i7(i7),
190
        .i8(i8),
191
        .i9(i9),
192
        .i10(i10),
193
        .i11(i11),
194
        .i12(i12),
195
        .i13(i13),
196
        .i14(i14),
197
        .i15(i15),
198
        .i16(i16),
199
        .i17(i17),
200
        .i18(i18),
201
        .i19(i19),
202
        .i20(i20),
203
        .i21(i21),
204
        .i22(i22),
205
        .i23(i23),
206
        .i24(i24),
207
        .i25(i25),
208
        .i26(i26),
209
        .i27(i27),
210
        .i28(i28),
211
        .i29(pit_out2), // garbage collector stop interrupt
212
        .i30(pit_out1), // garbage collector interrupt
213
        .i31(pit_out0), // time slice interrupt
214
        .irqo(irq),
215
        .nmii(1'b0),
216
        .nmio(),
217
        .causeo(cause)
218
);
219
 
220
assign irq_o = irq;
221
 
222
`ifdef CARD_MEMORY
223
CardMemory ucrd1
224
(
225
        .clk_i(clk_i),
226
        .cs_i(cs_crd & cyc_o & stb_o),
227
        .ack_o(crd_ack),
228
        .wr_i(we_o),
229
        .adr_i(adr),
230
        .dat_i(dato),
231
        .dat_o(crd_dato),
232
        .stp(1'b0),
233
        .mapno(pcr[5:0])
234
);
235
`else
236
assign crd_dato = 64'd0;
237
assign crd_ack = 1'b0;
238
`endif
239
 
240
FT64_ipt uipt1
241
(
242
        .rst(rst_i),
243
        .clk(clk_i),
244
        .pkeys_i(pkeys),
245
        .ol_i(ol),
246
        .cti_i(cti),
247
        .cs_i(cs_ipt),
248
        .icl_i(icl),
249
        .cyc_i(cyc),
250
        .stb_i(stb),
251
        .ack_o(ipt_ack),
252
        .we_i(we),
253
        .sel_i(sel),
254
        .vadr_i(adr),
255
        .dat_i(dato),
256
        .dat_o(ipt_dato),
257
        .cyc_o(cyc_o),
258
        .ack_i(ack),
259
        .we_o(we_o),
260
        .padr_o(adr_o),
261
        .exv_o(exv),
262
        .rdv_o(rdv),
263
        .wrv_o(wrv)
264
);
265
 
266
always @(posedge clk_i)
267
casez({pic_ack,pit_ack,crd_ack,cs_ipt})
268
4'b1???:        dati <= {2{pic_dato}};
269
4'b01??:        dati <= {2{pit_dato}};
270
4'b001?:        dati <= crd_dato;
271
4'b0001:        dati <= ipt_dato;
272
default:  dati <= dat_i;
273
endcase
274
 
275
always @(posedge clk_i)
276
        ack <= ack_i|pic_ack|pit_ack|crd_ack|ipt_ack;
277
 
278
FT64 ucpu1
279
(
280
    .hartid(hartid_i),
281
    .rst(rst_i),
282
    .clk_i(clk_i),
283
    .clk4x(clk4x_i),
284
    .tm_clk_i(tm_clk_i),
285
    .irq_i(irq),
286
    .vec_i(cause),
287
    .cti_o(cti),
288
    .bte_o(bte),
289
    .bok_i(bok_i),
290
    .cyc_o(cyc),
291
    .stb_o(stb),
292
    .ack_i(ack),
293
    .err_i(err_i),
294
    .we_o(we),
295
    .sel_o(sel),
296
    .adr_o(adr),
297
    .dat_o(dato),
298
    .dat_i(dati),
299
    .ol_o(ol),
300
    .pcr_o(pcr),
301
    .pcr2_o(pcr2),
302
    .pkeys_o(pkeys),
303
    .icl_o(icl),
304
    .sr_o(sr_o),
305
    .cr_o(cr_o),
306
    .rbi_i(rb_i)
307
);
308
 
309
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.