OpenCores
URL https://opencores.org/ocsvn/thor/thor/trunk

Subversion Repositories thor

[/] [thor/] [trunk/] [rtl/] [verilog/] [Thor_alu.v] - Blame information for rev 18

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 robfinch
// ============================================================================
2
//        __
3
//   \\__/ o\    (C) 2013,2015  Robert Finch, Stratford
4
//    \  __ /    All rights reserved.
5
//     \/_//     robfinch<remove>@finitron.ca
6
//       ||
7
//
8
// This source file is free software: you can redistribute it and/or modify 
9
// it under the terms of the GNU Lesser General Public License as published 
10
// by the Free Software Foundation, either version 3 of the License, or     
11
// (at your option) any later version.                                      
12
//                                                                          
13
// This source file is distributed in the hope that it will be useful,      
14
// but WITHOUT ANY WARRANTY; without even the implied warranty of           
15
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            
16
// GNU General Public License for more details.                             
17
//                                                                          
18
// You should have received a copy of the GNU General Public License        
19
// along with this program.  If not, see <http://www.gnu.org/licenses/>.    
20
//
21
//
22
// Thor SuperScaler
23
// ALU
24
//
25
// ============================================================================
26
//
27
`include "Thor_defines.v"
28
 
29 13 robfinch
module Thor_alu(corenum, rst, clk, alu_ld, alu_abort, alu_op, alu_fn, alu_argA, alu_argB, alu_argC, alu_argI, alu_pc, insnsz, o, alu_done, alu_idle, alu_divByZero);
30 3 robfinch
parameter DBW=64;
31
parameter BIG=1;
32
parameter FEATURES = 0;
33
input [63:0] corenum;
34
input rst;
35
input clk;
36
input alu_ld;
37 13 robfinch
input alu_abort;
38 3 robfinch
input [7:0] alu_op;
39
input [5:0] alu_fn;
40
input [DBW-1:0] alu_argA;
41
input [DBW-1:0] alu_argB;
42
input [DBW-1:0] alu_argC;
43
input [DBW-1:0] alu_argI;
44
input [DBW-1:0] alu_pc;
45
input [3:0] insnsz;
46
output reg [DBW-1:0] o;
47
output reg alu_done;
48 13 robfinch
output reg alu_idle;
49 3 robfinch
output alu_divByZero;
50
 
51
wire signed [DBW-1:0] alu_argAs = alu_argA;
52
wire signed [DBW-1:0] alu_argBs = alu_argB;
53
wire signed [DBW-1:0] alu_argIs = alu_argI;
54
wire [DBW-1:0] andi_res = alu_argA & alu_argI;
55
wire [127:0] alu_prod;
56
wire [63:0] alu_divq;
57
wire [63:0] alu_rem;
58
wire [7:0] bcdao,bcdso;
59
wire [15:0] bcdmo;
60
wire [DBW-1:0] bf_out;
61
wire [DBW-1:0] shfto;
62
wire alu_mult_done,alu_div_done;
63 13 robfinch
wire alu_mult_idle,alu_div_idle;
64 3 robfinch
wire [DBW-1:0] p_out;
65 9 robfinch
reg [3:0] o1;
66 3 robfinch
 
67
integer n;
68
 
69
Thor_multiplier #(DBW) umult1
70
(
71
        .rst(rst),
72
        .clk(clk),
73
        .ld(alu_ld && ((alu_op==`RR && (alu_fn==`MUL || alu_fn==`MULU)) || alu_op==`MULI || alu_op==`MULUI)),
74 13 robfinch
        .abort(alu_abort),
75 3 robfinch
        .sgn((alu_op==`RR && alu_op==`MUL) || alu_op==`MULI),
76
        .isMuli(alu_op==`MULI || alu_op==`MULUI),
77
        .a(alu_argA),
78
        .b(alu_argB),
79
        .imm(alu_argI),
80
        .o(alu_prod),
81 13 robfinch
        .done(alu_mult_done),
82
        .idle(alu_mult_idle)
83 3 robfinch
);
84
 
85
Thor_divider #(DBW) udiv1
86
(
87
        .rst(rst),
88
        .clk(clk),
89 13 robfinch
        .ld(alu_ld && ((alu_op==`RR && (alu_fn==`DIV || alu_fn==`DIVU || alu_fn==`MOD || alu_fn==`MODU))
90
           || alu_op==`DIVI || alu_op==`DIVUI || alu_op==`MODI || alu_op==`MODUI)),
91
        .abort(alu_abort),
92
        .sgn((alu_op==`RR && (alu_fn==`DIV || alu_fn==`MOD)) || alu_op==`DIVI || alu_op==`MODI),
93
        .isDivi(alu_op==`DIVI || alu_op==`DIVUI || alu_op==`MODI || alu_op==`MODUI),
94 3 robfinch
        .a(alu_argA),
95
        .b(alu_argB),
96
        .imm(alu_argI),
97
        .qo(alu_divq),
98
        .ro(alu_rem),
99
        .dvByZr(alu_divByZero),
100 13 robfinch
        .done(alu_div_done),
101
        .idle(alu_div_idle)
102 3 robfinch
);
103
 
104
Thor_shifter #(DBW) ushft0
105
(
106
        .func(alu_fn),
107
        .a(alu_argA),
108
        .b(alu_argB),
109
        .o(shfto)
110
);
111
 
112
BCDAdd ubcda
113
(
114
        .ci(1'b0),
115
        .a(alu_argA[7:0]),
116
        .b(alu_argB[7:0]),
117
        .o(bcdao),
118
        .c()
119
);
120
 
121
BCDSub ubcds
122
(
123
        .ci(1'b0),
124
        .a(alu_argA[7:0]),
125
        .b(alu_argB[7:0]),
126
        .o(bcdso),
127
        .c()
128
);
129
 
130
BCDMul2 ubcdm
131
(
132
        .a(alu_argA),
133
        .b(alu_argB),
134
        .o(bcdmo)
135
);
136
 
137
Thor_bitfield #(DBW) ubf1
138
(
139
        .op(alu_fn),
140
        .a(alu_argA),
141
        .b(alu_argB),
142
        .m(alu_argI[11:0]),
143
        .o(bf_out),
144
        .masko()
145
);
146
 
147
Thor_P #(DBW) upr1
148
(
149
    .fn(alu_fn),
150
    .ra(alu_argI[5:0]),
151
    .rb(alu_argI[11:6]),
152
    .rt(alu_argI[17:12]),
153
    .pregs_i(alu_argA),
154
    .pregs_o(p_out)
155
);
156
 
157
wire [DBW-1:0] cntlzo;
158
wire [DBW-1:0] cntloo;
159
wire [DBW-1:0] cntpopo;
160
 
161
generate
162
begin : clzg
163
if (DBW==64) begin
164
cntlz64 u12 ( .i(alu_argA),  .o(cntlzo) );
165
cntlo64 u13 ( .i(alu_argA),  .o(cntloo) );
166
cntpop64 u14 ( .i(alu_argA), .o(cntpopo) );
167
end
168
else begin
169
cntlz32 u12 ( .i(alu_argA),  .o(cntlzo) );
170
cntlo32 u13 ( .i(alu_argA),  .o(cntloo) );
171
cntpop32 u14 ( .i(alu_argA), .o(cntpopo) );
172
end
173
end
174
endgenerate
175
 
176
wire faz = alu_argA[DBW-2:0]==63'd0;
177
wire fbz = alu_argB[DBW-2:0]==63'd0;
178
wire feq = (faz & fbz) || (alu_argA==alu_argB); // special test for zero
179
wire fgt1 = alu_argA[DBW-2:0] > alu_argB[DBW-2:0];
180
wire flt1 = alu_argA[DBW-2:0] < alu_argB[DBW-2:0];
181
wire flt = alu_argA[DBW] ^ alu_argB[DBW] ? alu_argA[DBW] & !(faz & fbz): alu_argA[DBW] ? fgt1 : flt1;
182
wire nanA = DBW==32 ? alu_argA[30:23]==8'hFF && (alu_argA[22:0]!=23'd0) : alu_argA[62:52]==11'h7FF && (alu_argA[51:0]!=52'd0);
183
wire nanB = DBW==32 ? alu_argB[30:23]==8'hFF && (alu_argB[22:0]!=23'd0) : alu_argB[62:52]==11'h7FF && (alu_argB[51:0]!=52'd0);
184
 
185
wire fsaz = alu_argA[30:0]==31'd0;
186
wire fsbz = alu_argB[30:0]==31'd0;
187
wire fseq = (fsaz & fsbz) || (alu_argA[31:0]==alu_argB[31:0]);    // special test for zero
188
wire fsgt1 = alu_argA[30:0] > alu_argB[30:0];
189
wire fslt1 = alu_argA[30:0] < alu_argB[30:0];
190
wire fslt = alu_argA[31] ^ alu_argB[31] ? alu_argA[31] & !(fsaz & fsbz): alu_argA[31] ? fsgt1 : fslt1;
191
wire snanA = alu_argA[30:23]==8'hFF && (alu_argA[22:0]!=23'd0);
192
wire snanB = alu_argB[30:23]==8'hFF && (alu_argB[22:0]!=23'd0);
193
 
194
always @*
195
begin
196 9 robfinch
case(alu_op)
197 3 robfinch
`LDI,`LDIS:                     o <= alu_argI;
198
`RR:
199
        case(alu_fn)
200
        `ADD,`ADDU:             o <= alu_argA + alu_argB;
201
        `SUB,`SUBU:             o <= alu_argA - alu_argB;
202
        `_2ADDU:                o <= {alu_argA[DBW-2:0],1'b0} + alu_argB;
203
        `_4ADDU:                o <= {alu_argA[DBW-3:0],2'b0} + alu_argB;
204
        `_8ADDU:                o <= {alu_argA[DBW-4:0],3'b0} + alu_argB;
205
        `_16ADDU:               o <= {alu_argA[DBW-5:0],4'b0} + alu_argB;
206
        `MIN:           o <= BIG ? (alu_argA < alu_argB ? alu_argA : alu_argB) : 64'hDEADDEADDEADDEAD;
207
        `MAX:           o <= BIG ? (alu_argA < alu_argB ? alu_argB : alu_argA) : 64'hDEADDEADDEADDEAD;
208
        `MUL,`MULU:     o <= BIG ? alu_prod[63:0] : 64'hDEADDEADDEADDEAD;
209
        `DIV,`DIVU:     o <= BIG ? alu_divq : 64'hDEADDEADDEADDEAD;
210 13 robfinch
    `MOD,`MODU:     o <= BIG ? alu_rem : 64'hDEADDEADDEADDEAD;
211 3 robfinch
        default:   o <= 64'hDEADDEADDEADDEAD;
212
        endcase
213
`MULI,`MULUI:   o <= BIG ? alu_prod[63:0] : 64'hDEADDEADDEADDEAD;
214
`DIVI,`DIVUI:   o <= BIG ? alu_divq : 64'hDEADDEADDEADDEAD;
215 13 robfinch
`MODI,`MODUI:   o <= BIG ? alu_rem : 64'hDEADDEADDEADDEAD;
216 3 robfinch
`_2ADDUI:               o <= {alu_argA[DBW-2:0],1'b0} + alu_argI;
217
`_4ADDUI:               o <= {alu_argA[DBW-3:0],2'b0} + alu_argI;
218
`_8ADDUI:               o <= {alu_argA[DBW-4:0],3'b0} + alu_argI;
219
`_16ADDUI:              o <= {alu_argA[DBW-5:0],4'b0} + alu_argI;
220
`R:
221
    case(alu_fn[3:0])
222
    `MOV:       o <= alu_argA;
223
    `NEG:               o <= -alu_argA;
224
    `NOT:       o <= |alu_argA ? 64'd0 : 64'd1;
225
    `ABS:       o <= BIG ? (alu_argA[DBW] ? -alu_argA : alu_argA) : 64'hDEADDEADDEADDEAD;
226
    `SGN:       o <= BIG ? (alu_argA[DBW] ? 64'hFFFFFFFFFFFFFFFF : alu_argA==64'd0 ? 64'd0 : 64'd1) : 64'hDEADDEADDEADDEAD;
227
    `CNTLZ:     o <= BIG ? cntlzo : 64'hDEADDEADDEADDEAD;
228
    `CNTLO:     o <= BIG ? cntloo : 64'hDEADDEADDEADDEAD;
229
    `CNTPOP:    o <= BIG ? cntpopo : 64'hDEADDEADDEADDEAD;
230
    `ZXB:       o <= BIG ? {56'd0,alu_argA[7:0]} : 64'hDEADDEADDEADDEAD;
231
    `ZXC:       o <= BIG ? {48'd0,alu_argA[15:0]} : 64'hDEADDEADDEADDEAD;
232
    `ZXH:       o <= BIG ? {32'd0,alu_argA[31:0]} : 64'hDEADDEADDEADDEAD;
233
    `COM:       o <= ~alu_argA;
234
    `SXB:       o <= BIG ? {{56{alu_argA[7]}},alu_argA[7:0]} : 64'hDEADDEADDEADDEAD;
235
    `SXC:       o <= BIG ? {{48{alu_argA[15]}},alu_argA[15:0]} : 64'hDEADDEADDEADDEAD;
236
    `SXH:       o <= BIG ? {{32{alu_argA[31]}},alu_argA[31:0]} : 64'hDEADDEADDEADDEAD;
237
    default:    o <= 64'hDEADDEADDEADDEAD;
238
    endcase
239
`R2:
240
    case(alu_fn)
241
    `CPUID:
242
        if (BIG)
243
        case(alu_argA[4:0])
244
        5'd0:       o <= corenum;
245
        5'd2:       o <= "Finitron";
246
        5'd3:       o <= "";        // vendor ID
247
        5'd4:       o <= "64BitSS"; // class
248
        5'd6:       o <= "Thor";    // Name
249
        5'd8:       o <= "M1";      // model 
250
        5'd9:       o <= "1234";    // serial num
251
        5'd10:      o <= FEATURES;
252
        5'd11:      o <= {32'd16384,32'd32768}; // Cache D,I
253
        default:    o <= 64'hDEADDEADDEADDEAD;
254
        endcase
255
        else    o <= 64'hDEADDEADDEADDEAD;
256
    `REDOR:     o <= BIG ? |alu_argA : 64'hDEADDEADDEADDEAD;
257
    `REDAND:    o <= BIG ? &alu_argA : 64'hDEADDEADDEADDEAD;
258
    `PAR:       o <= BIG ? ^alu_argA : 64'hDEADDEADDEADDEAD;
259
    default:    o <= 64'hDEADDEADDEADDEAD;
260
    endcase
261
`P: o <= p_out;
262
/*
263
`DOUBLE:
264
    if (BIG) begin
265
        if (alu_fn[5:4]==2'b00)
266
            case (alu_fn)
267
            `FMOV:      o <= alu_argA;
268
            `FNEG:              o <= {~alu_argA[DBW-1],alu_argA[DBW-2:0]};
269
            `FABS:              o <= {1'b0,alu_argA[DBW-2:0]};
270
            `FSIGN:                     if (DBW==64)
271
                                o <= alu_argA[DBW-2:0]==0 ? {DBW{1'b0}} : {alu_argA[DBW-1],1'b0,{10{1'b1}},{52{1'b0}}};
272
                            else
273
                                o <= alu_argA[DBW-2:0]==0 ? {DBW{1'b0}} : {alu_argA[DBW-1],1'b0,{7{1'b1}},{23{1'b0}}};
274
            `FMAN:      o <= alu_argA[(DBW==64?51:22):0];
275
            default:    o <= 64'hDEADDEADDEADDEAD;
276
            endcase
277
        else
278
            case (alu_fn)
279
            `FMOV:      o <= alu_argA;
280
            `FSNEG:     o <= {~alu_argA[31],alu_argA[30:0]};
281
            `FSABS:     o <= {1'b0,alu_argA[30:0]};
282
            `FSSIGN:    o <= alu_argA[30:0]==0 ? {DBW{1'b0}} : {alu_argA[31],1'b0,{7{1'b1}},{23{1'b0}}};
283
            `FSMAN:     o <= alu_argA[22:0];
284
            default:    o <= 64'hDEADDEADDEADDEAD;
285
            endcase
286
    end
287
    else
288
        o <= 64'hDEADDEADDEADDEAD;
289
 */
290
 
291 18 robfinch
`ADDI,`ADDUI,`ADDUIS,`LEA:
292 3 robfinch
                o <= alu_argA + alu_argI;
293
`SUBI,`SUBUI:
294
                o <= alu_argA - alu_argI;
295
`ANDI:                  o <= alu_argA & alu_argI;
296
`ORI:                   o <= alu_argA | alu_argI;
297
`EORI:                  o <= alu_argA ^ alu_argI;
298
`LOGIC,`MLO:
299
        case(alu_fn)
300
        `AND:                   o <= alu_argA & alu_argB;
301
        `ANDC:                  o <= alu_argA & ~alu_argB;
302
        `OR:                    o <= alu_argA | alu_argB;
303
        `ORC:                   o <= alu_argA | ~alu_argB;
304
        `EOR:                   o <= alu_argA ^ alu_argB;
305
        `NAND:                  o <= ~(alu_argA & alu_argB);
306
        `NOR:                   o <= ~(alu_argA | alu_argB);
307
        `ENOR:                  o <= ~(alu_argA ^ alu_argB);
308
        default:       o <= 64'd0;
309
        endcase
310
`BITI:
311
    begin
312 9 robfinch
        o1[0] = andi_res==64'd0;
313
        o1[1] = andi_res[DBW-1];
314
        o1[2] = andi_res[0];
315
        o1[3] = 1'b0;
316
        o <= {16{o1}};
317 3 robfinch
    end
318 9 robfinch
// TST
319
8'h00,8'h01,8'h02,8'h03,8'h04,8'h05,8'h06,8'h07,8'h08,8'h09,8'h0A,8'h0B,8'h0C,8'h0D,8'h0E,8'h0f:
320 3 robfinch
        case(alu_fn)
321
        6'd0:   // TST - integer
322
                begin
323 9 robfinch
                        o1[0] = alu_argA == 64'd0;
324
                        o1[1] = alu_argA[DBW-1];
325
                        o1[2] = 1'b0;
326
                        o1[3] = 1'b0;
327
                        o <= {16{o1}};
328 3 robfinch
                end
329
`ifdef FLOATING_POINT
330
        6'd1:   // FSTST - float single
331
                begin
332 9 robfinch
                        o1[0] = alu_argA[30:0]==31'd0;    // + or - zero
333
                        o1[1] = alu_argA[31];                   // signed less than
334
                        o1[2] = alu_argA[31];
335 3 robfinch
                        // unordered
336 9 robfinch
                        o1[3] = alu_argA[30:23]==8'hFF && alu_argA[22:0]!=23'd0; // NaN
337
                        o <= {16{o1}};
338 3 robfinch
                end
339
        6'd2:   // FTST - float double
340
                begin
341 9 robfinch
                        o1[0] = alu_argA[DBW-2:0]==63'd0; // + or - zero
342
                        o1[1] = alu_argA[DBW-1];                        // signed less than
343
                        o1[2] = alu_argA[DBW-1];
344 3 robfinch
                        // unordered
345
                        if (DBW==64)
346 9 robfinch
                                o1[3] = alu_argA[62:52]==11'h7FF && alu_argA[51:0]!=52'd0;       // NaN
347 3 robfinch
                        else
348 9 robfinch
                                o1[3] = 1'b0;
349
                        o <= {16{o1}};
350 3 robfinch
                end
351
`endif
352
        default:        o <= 64'd0;
353
        endcase
354 9 robfinch
// CMP
355
8'h10,8'h11,8'h12,8'h13,8'h14,8'h15,8'h16,8'h17,8'h18,8'h19,8'h1A,8'h1B,8'h1C,8'h1D,8'h1E,8'h1f:
356
    begin
357 3 robfinch
            case(alu_fn)
358
            2'd0: begin     // ICMP
359 9 robfinch
                o1[0] = alu_argA == alu_argB;
360 13 robfinch
                o1[1] = ($signed(alu_argA) < $signed(alu_argB));
361 9 robfinch
                o1[2] = alu_argA < alu_argB;
362
                o1[3] = 1'b0;
363
                        o <= {16{o1}};
364 3 robfinch
                end
365
`ifdef FLOATING_POINT
366
            2'd1: begin     // FSCMP
367 9 robfinch
                o1[0] = fseq;
368
                o1[1] = fslt;
369
                o1[2] = fslt1;
370
                o1[3] = snanA | snanB;
371
                        o <= {16{o1}};
372 3 robfinch
                end
373
            2'd2: begin     // FCMP
374 9 robfinch
                o1[0] = feq;
375
                o1[1] = flt;
376
                o1[2] = flt1;
377
                o1[3] = nanA | nanB;
378
                        o <= {16{o1}};
379 3 robfinch
                end
380
`endif
381
            default: o <= 64'hDEADDEADDEADDEAD;
382
            endcase
383
                end
384 9 robfinch
// CMPI
385
8'h20,8'h21,8'h22,8'h23,8'h24,8'h25,8'h26,8'h27,8'h28,8'h29,8'h2A,8'h2B,8'h2C,8'h2D,8'h2E,8'h2f:
386
        begin
387
                        o1[0] = alu_argA == alu_argI;
388 13 robfinch
                        o1[1] = ($signed(alu_argA) < $signed(alu_argI));
389 9 robfinch
                        o1[2] = alu_argA < alu_argI;
390
                        o1[3] = 1'b0;
391
                        o <= {16{o1}};
392 3 robfinch
                end
393 13 robfinch
`LLA,
394 10 robfinch
`LB,`LBU,`LC,`LCU,`LH,`LHU,`LW,`SB,`SC,`SH,`SW,`CAS,`LVB,`LVC,`LVH,`LVW,`STI,
395 13 robfinch
`LWS,`SWS,`STS,`STFND,`STCMP,`PUSH:
396 3 robfinch
            begin
397
                                o <= alu_argA + alu_argC + alu_argI;
398
                    end
399 10 robfinch
`JMPI:      o <= {alu_argA << alu_fn[1:0]} + alu_argC + alu_argI;
400 3 robfinch
`LBX,`LBUX,`SBX,
401
`LCX,`LCUX,`SCX,
402
`LHX,`LHUX,`SHX,
403 13 robfinch
`LWX,`SWX,`LLAX,
404 10 robfinch
`JMPIX:
405 3 robfinch
            case(alu_fn[1:0])
406
            2'd0:   o <= alu_argA + alu_argC + alu_argB;
407
            2'd1:   o <= alu_argA + alu_argC + {alu_argB,1'b0};
408
            2'd2:   o <= alu_argA + alu_argC + {alu_argB,2'b0};
409
            2'd3:   o <= alu_argA + alu_argC + {alu_argB,3'b0};
410
            endcase
411
`ifdef STACKOPS
412 10 robfinch
`PEA,`LINK: o <= alu_argA + alu_argC - 64'd8;
413 3 robfinch
`UNLINK:    o <= alu_argA + alu_argC + 64'd8;
414
`POP:       o <= alu_argA + alu_argC;
415
`endif
416
`JSR,`JSRS,`JSRZ,`SYS:  o <= alu_pc + insnsz;
417
`INT:           o <= alu_pc;
418
`MFSPR,`MTSPR:  begin
419
                o <= alu_argA;
420
                end
421
`MUX:   begin
422
                        for (n = 0; n < DBW; n = n + 1)
423
                                o[n] <= alu_argA[n] ? alu_argB[n] : alu_argC[n];
424
                end
425
`BCD:
426
        if (BIG)
427
            case(alu_fn)
428
            `BCDADD:    o <= bcdao;
429
            `BCDSUB:    o <= bcdso;
430
            `BCDMUL:    o <= bcdmo;
431
            default:    o <= 64'hDEADDEADDEADDEAD;
432
            endcase
433
        else
434
            o <= 64'hDEADDEADDEADDEAD;
435
`SHIFT:     o <= BIG ? shfto : 64'hDEADDEADDEADDEAD;
436
`ifdef BITFIELDOPS
437
`BITFIELD:      o <= BIG ? bf_out : 64'hDEADDEADDEADDEAD;
438
`endif
439 10 robfinch
`LOOP:      o <= alu_argA > 0 ? alu_argA - 64'd1 : alu_argA;
440 3 robfinch
default:        o <= 64'hDEADDEADDEADDEAD;
441
endcase
442
end
443
 
444
// Generate done signal
445
always @*
446
case(alu_op)
447
`RR:
448
    case(alu_fn)
449
    `MUL,`MULU: alu_done <= alu_mult_done;
450 13 robfinch
    `DIV,`DIVU,`MOD,`MODU: alu_done <= alu_div_done;
451 3 robfinch
    default:    alu_done <= `TRUE;
452
    endcase
453
`MULI,`MULUI:   alu_done <= alu_mult_done;
454 13 robfinch
`DIVI,`DIVUI,`MODI,`MODUI:   alu_done <= alu_div_done;
455 3 robfinch
default:    alu_done <= `TRUE;
456
endcase
457
 
458 13 robfinch
// Generate idle signal
459
always @*
460
case(alu_op)
461
`RR:
462
    case(alu_fn)
463
    `MUL,`MULU: alu_idle <= alu_mult_idle;
464
    `DIV,`DIVU,`MOD,`MODU: alu_idle <= alu_div_idle;
465
    default:    alu_idle <= `TRUE;
466
    endcase
467
`MULI,`MULUI:   alu_idle <= alu_mult_idle;
468
`DIVI,`DIVUI,`MODI,`MODUI:   alu_idle <= alu_div_idle;
469
default:    alu_idle <= `TRUE;
470
endcase
471
 
472 3 robfinch
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.