OpenCores
URL https://opencores.org/ocsvn/thor/thor/trunk

Subversion Repositories thor

[/] [thor/] [trunk/] [rtl/] [verilog/] [Thor_icachemem.v] - Blame information for rev 18

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 robfinch
// ============================================================================
2
//        __
3 18 robfinch
//   \\__/ o\    (C) 2013-2016  Robert Finch, Stratford
4 3 robfinch
//    \  __ /    All rights reserved.
5
//     \/_//     robfinch<remove>@finitron.ca
6
//       ||
7
//
8
// This source file is free software: you can redistribute it and/or modify 
9
// it under the terms of the GNU Lesser General Public License as published 
10
// by the Free Software Foundation, either version 3 of the License, or     
11
// (at your option) any later version.                                      
12
//                                                                          
13
// This source file is distributed in the hope that it will be useful,      
14
// but WITHOUT ANY WARRANTY; without even the implied warranty of           
15
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            
16
// GNU General Public License for more details.                             
17
//                                                                          
18
// You should have received a copy of the GNU General Public License        
19
// along with this program.  If not, see <http://www.gnu.org/licenses/>.    
20
//
21
//
22
// Thor SuperScalar
23
//
24
// ============================================================================
25
//
26
module Thor_icachemem(wclk, wce, wr, wa, wd, rclk, pc, insn);
27
parameter DBW=64;
28 18 robfinch
parameter ABW=32;
29 3 robfinch
input wclk;
30
input wce;
31
input wr;
32 18 robfinch
input [ABW-1:0] wa;
33 3 robfinch
input [DBW-1:0] wd;
34
input rclk;
35 18 robfinch
input [ABW-1:0] pc;
36 3 robfinch
output reg [127:0] insn;
37
 
38 18 robfinch
wire [127:0] insn0;
39
wire [127:0] insn1;
40 3 robfinch
 
41
generate
42 18 robfinch
begin : cache_mem
43
if (DBW==32) begin
44
blk_mem_gen_0 uicm1 (
45
  .clka(wclk),    // input wire clka
46
  .ena(wce),      // input wire ena
47
  .wea(wr),      // input wire [0 : 0] wea
48
  .addra(wa[14:2]),  // input wire [14 : 0] addra
49
  .dina(wd),    // input wire [31 : 0] dina
50
  .clkb(rclk),    // input wire clkb
51
  .enb(1'b1),
52
  .addrb(pc[14:4]),  // input wire [12 : 0] addrb
53
  .doutb(insn0)  // output wire [127 : 0] doutb
54
);
55 3 robfinch
 
56 18 robfinch
blk_mem_gen_0 uicm2 (
57
  .clka(wclk),    // input wire clka
58
  .ena(wce),      // input wire ena
59
  .wea(wr),      // input wire [0 : 0] wea
60
  .addra(wa[14:2]),  // input wire [14 : 0] addra
61
  .dina(wd),    // input wire [31 : 0] dina
62
  .clkb(rclk),    // input wire clkb
63
  .enb(1'b1),
64
  .addrb(pc[14:4]+11'd1),  // input wire [12 : 0] addrb
65
  .doutb(insn1)  // output wire [127 : 0] doutb
66
);
67 3 robfinch
end
68 18 robfinch
else begin
69
blk_mem_gen_1 uicm1 (
70
  .clka(wclk),    // input wire clka
71
  .ena(wce),      // input wire ena
72
  .wea(wr),      // input wire [0 : 0] wea
73
  .addra(wa[14:3]),  // input wire [14 : 0] addra
74
  .dina(wd),    // input wire [31 : 0] dina
75
  .clkb(rclk),    // input wire clkb
76
  .enb(1'b1),
77
  .addrb(pc[14:4]),  // input wire [12 : 0] addrb
78
  .doutb(insn0)  // output wire [127 : 0] doutb
79
);
80
 
81
blk_mem_gen_1 uicm2 (
82
  .clka(wclk),    // input wire clka
83
  .ena(wce),      // input wire ena
84
  .wea(wr),      // input wire [0 : 0] wea
85
  .addra(wa[14:3]),  // input wire [14 : 0] addra
86
  .dina(wd),    // input wire [31 : 0] dina
87
  .clkb(rclk),    // input wire clkb
88
  .enb(1'b1),
89
  .addrb(pc[14:4]+11'd1),  // input wire [12 : 0] addrb
90
  .doutb(insn1)  // output wire [127 : 0] doutb
91
);
92
end
93
 
94
end
95 3 robfinch
endgenerate
96
 
97
always @(pc or insn0 or insn1)
98
case(pc[3:0])
99
4'd0:   insn <= insn0;
100
4'd1:   insn <= {insn1[7:0],insn0[127:8]};
101
4'd2:   insn <= {insn1[15:0],insn0[127:16]};
102
4'd3:   insn <= {insn1[23:0],insn0[127:24]};
103
4'd4:   insn <= {insn1[31:0],insn0[127:32]};
104
4'd5:   insn <= {insn1[39:0],insn0[127:40]};
105
4'd6:   insn <= {insn1[47:0],insn0[127:48]};
106
4'd7:   insn <= {insn1[55:0],insn0[127:56]};
107
4'd8:   insn <= {insn1[63:0],insn0[127:64]};
108
4'd9:   insn <= {insn1[71:0],insn0[127:72]};
109
4'd10:  insn <= {insn1[79:0],insn0[127:80]};
110
4'd11:  insn <= {insn1[87:0],insn0[127:88]};
111
4'd12:  insn <= {insn1[95:0],insn0[127:96]};
112
4'd13:  insn <= {insn1[103:0],insn0[127:104]};
113
4'd14:  insn <= {insn1[111:0],insn0[127:112]};
114
4'd15:  insn <= {insn1[119:0],insn0[127:120]};
115
endcase
116
 
117
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.