OpenCores
URL https://opencores.org/ocsvn/tiny_aes/tiny_aes/trunk

Subversion Repositories tiny_aes

[/] [tiny_aes/] [trunk/] [testbench/] [simulation.do] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 homer.hsin
vlib work
2
vlog ../rtl/*.v
3
vlog *.v
4
vsim -novopt test_aes_128
5
add wave -noupdate -format Logic -radix unsigned /test_aes_128/clk
6
add wave -noupdate -divider input
7
add wave -noupdate -format Literal -radix hexadecimal /test_aes_128/state
8
add wave -noupdate -format Literal -radix hexadecimal /test_aes_128/key
9
add wave -noupdate -divider output
10
add wave -noupdate -format Literal -radix hexadecimal /test_aes_128/out
11
run -all

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.