OpenCores
URL https://opencores.org/ocsvn/tinycpu/tinycpu/trunk

Subversion Repositories tinycpu

[/] [tinycpu/] [trunk/] [src/] [core.vhd] - Blame information for rev 30

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 earlz
--Core module. 
2
--This module is basically connects everything and decodes the opcodes.
3
--The only thing above this is toplevel.vhd which actually sets the pinout for the FPGA
4
 
5
 
6
library IEEE;
7
use IEEE.STD_LOGIC_1164.ALL;
8
use IEEE.NUMERIC_STD.ALL;
9
use work.tinycpu.all;
10
 
11
entity core is
12
  port(
13
    --memory interface 
14
    MemAddr: out std_logic_vector(15 downto 0); --memory address (in bytes)
15
    MemWW: out std_logic; --memory writeword
16
    MemWE: out std_logic; --memory writeenable
17 20 earlz
    MemIn: in std_logic_vector(15 downto 0);
18
    MemOut: out std_logic_vector(15 downto 0);
19 19 earlz
    --general interface
20
    Clock: in std_logic;
21
    Reset: in std_logic; --When this is high, CPU will reset within 1 clock cycles. 
22
    --Enable: in std_logic; --When this is high, the CPU executes as normal, when low the CPU stops at the next clock cycle(maintaining all state)
23
    Hold: in std_logic; --when high, CPU pauses execution and places Memory interfaces into high impendance state so the memory can be used by other components
24
    HoldAck: out std_logic; --when high, CPU acknowledged hold and buses are in high Z
25
    --todo: port interface
26
 
27
    --debug ports:
28
    DebugIR: out std_logic_vector(15 downto 0); --current instruction
29 20 earlz
    DebugIP: out std_logic_vector(7 downto 0); --current IP
30
    DebugCS: out std_logic_vector(7 downto 0); --current code segment
31 19 earlz
    DebugTR: out std_logic; --current value of TR
32 20 earlz
    DebugR0: out std_logic_vector(7 downto 0)
33 19 earlz
   );
34
end core;
35
 
36
architecture Behavioral of core is
37
  component fetch is
38
    port(
39
      Enable: in std_logic;
40
      AddressIn: in std_logic_vector(15 downto 0);
41
      Clock: in std_logic;
42
      DataIn: in std_logic_vector(15 downto 0); --interface from memory
43
      IROut: out std_logic_vector(15 downto 0);
44
      AddressOut: out std_logic_vector(15 downto 0) --interface to memory
45
    );
46
  end component;
47
  component alu is
48
    port(
49
      Op: in std_logic_vector(4 downto 0);
50
      DataIn1: in std_logic_vector(7 downto 0);
51
      DataIn2: in std_logic_vector(7 downto 0);
52
      DataOut: out std_logic_vector(7 downto 0);
53
      TR: out std_logic
54
    );
55
  end component;
56
  component carryover is
57
    port(
58
      EnableCarry: in std_logic; --When disabled, SegmentIn goes to SegmentOut
59
      DataIn: in std_logic_vector(7 downto 0);
60
      SegmentIn: in std_logic_vector(7 downto 0);
61
      Addend: in std_logic_vector(7 downto 0); --How much to increase DataIn by (as a signed number). Believe it or not, that's the actual word for what we need.
62
      DataOut: out std_logic_vector(7 downto 0);
63 21 earlz
      SegmentOut: out std_logic_vector(7 downto 0);
64
      Clock: in std_logic
65 19 earlz
    );
66
  end component;
67
  component registerfile is
68
  port(
69
    WriteEnable: in regwritetype;
70
    DataIn: in regdatatype;
71
    Clock: in std_logic;
72
    DataOut: out regdatatype
73
  );
74
  end component;
75
 
76
  constant REGIP: integer := 7;
77
  constant REGSP: integer := 6;
78
  constant REGSS: integer := 15;
79
  constant REGES: integer := 14;
80
  constant REGDS: integer := 13;
81
  constant REGCS: integer := 12;
82
 
83
  type ProcessorState is (
84
    ResetProcessor,
85 21 earlz
    FirstFetch1, --the fetcher needs two clock cycles to catch up
86
    FirstFetch2,
87 23 earlz
    Firstfetch3,
88 19 earlz
    Execute,
89
    WaitForMemory,
90 30 earlz
    HoldMemory,
91
    WaitForAlu -- wait for settling is needed when using the ALU
92 19 earlz
  );
93 20 earlz
  signal state: ProcessorState;
94
  signal HeldState: ProcessorState; --state the processor was in when HOLD was activated
95 19 earlz
 
96
  --carryout signals
97
  signal CarryCS: std_logic;
98
  signal CarrySS: std_logic;
99
  signal IPAddend: std_logic_vector(7 downto 0);
100
  signal SPAddend: std_logic_vector(7 downto 0);
101
  signal IPCarryOut: std_logic_vector(7 downto 0);
102
  signal CSCarryOut: std_logic_vector(7 downto 0);
103 25 earlz
  signal SPCarryOut: std_logic_vector(7 downto 0);
104
  signal SSCarryOut: std_logic_vector(7 downto 0);
105
 
106 19 earlz
  --register signals
107
  signal regWE:regwritetype;
108
  signal regIn: regdatatype;
109
  signal regOut: regdatatype;
110
  --fetch signals
111
  signal fetchEN: std_logic;
112
  signal IR: std_logic_vector(15 downto 0);
113 25 earlz
  --alu signals
114
  signal AluOp: std_logic_vector(4 downto 0);
115
  signal AluIn1: std_logic_vector(7 downto 0);
116
  signal AluIn2: std_logic_vector(7 downto 0);
117
  signal AluOut: std_logic_vector(7 downto 0);
118
  signal TR: std_logic;
119 19 earlz
 
120
  --control signals
121
  signal InReset: std_logic;
122 25 earlz
  signal OpAddress: std_logic_vector(15 downto 0); --memory address to use for operation of an instruction
123
  signal OpData: std_logic_vector(15 downto 0); --data to write or will load to here
124
  signal OpWW: std_logic;
125
  signal OpWE: std_logic;
126 19 earlz
 
127
  --opcode shortcut signals
128
  signal opmain: std_logic_vector(3 downto 0);
129
  signal opimmd: std_logic_vector(7 downto 0);
130
  signal opcond1: std_logic; --first conditional bit
131
  signal opcond2: std_logic; --second conditional bit
132
  signal opreg1: std_logic_vector(2 downto 0);
133
  signal opreg2: std_logic_vector(2 downto 0);
134
  signal opreg3: std_logic_vector(2 downto 0);
135
  signal opseges: std_logic; --use ES segment
136 25 earlz
 
137
  signal regbank: std_logic;
138 19 earlz
 
139 20 earlz
  signal fetcheraddress: std_logic_vector(15 downto 0);
140 25 earlz
 
141 27 earlz
 
142
  signal bankreg1: std_logic_vector(3 downto 0); --these signals have register bank stuff baked in
143
  signal bankreg2: std_logic_vector(3 downto 0);
144
  signal bankreg3: std_logic_vector(3 downto 0);
145 25 earlz
  signal FetchMemAddr: std_logic_vector(15 downto 0);
146
 
147 29 earlz
  signal UsuallySS: std_logic_vector(3 downto 0);
148
  signal UsuallyDS: std_logic_vector(3 downto 0);
149 30 earlz
  signal aluregisterout: std_logic_vector(3 downto 0);
150 19 earlz
begin
151 20 earlz
  reg: registerfile port map(
152 19 earlz
    WriteEnable => regWE,
153
    DataIn => regIn,
154
    Clock => Clock,
155
    DataOut => regOut
156
  );
157 20 earlz
  carryovercs: carryover port map(
158 19 earlz
    EnableCarry => CarryCS,
159 28 earlz
    DataIn => regOut(REGIP),
160
    SegmentIn => regOut(REGCS),
161 20 earlz
    Addend => IPAddend,
162
    DataOut => IPCarryOut,
163 21 earlz
    SegmentOut => CSCarryOut,
164
    Clock => Clock
165 19 earlz
  );
166 25 earlz
  carryoverss: carryover port map(
167
    EnableCarry => CarrySS,
168 28 earlz
    DataIn => regOut(REGSP),
169
    SegmentIn => RegOut(REGSS),
170 25 earlz
    Addend => SPAddend,
171
    DataOut => SPCarryOut,
172
    SegmentOut => SSCarryOut,
173
    Clock => Clock
174
  );
175 20 earlz
  fetcher: fetch port map(
176 19 earlz
    Enable => fetchEN,
177 20 earlz
    AddressIn => fetcheraddress,
178 19 earlz
    Clock => Clock,
179
    DataIn => MemIn,
180
    IROut => IR,
181 25 earlz
    AddressOut => FetchMemAddr
182 19 earlz
  );
183 25 earlz
  cpualu: alu port map(
184
    Op => AluOp,
185
    DataIn1 => AluIn1,
186
    DataIn2 => AluIn2,
187
    DataOut => AluOut,
188
    TR => TR
189
  );
190 21 earlz
  fetcheraddress <= regIn(REGCS) & regIn(REGIP);
191 25 earlz
  MemAddr <= OpAddress when state=WaitForMemory else FetchMemAddr;
192 28 earlz
  MemOut <= OpData when (state=WaitForMemory and OpWE='1') else "ZZZZZZZZZZZZZZZZ" when state=HoldMemory else x"0000";
193
  MemWE <= OpWE when state=WaitForMemory else 'Z' when state=HoldMemory else '0';
194
  MemWW <= OpWW when state=WaitForMemory else 'Z' when state=HoldMEmory else '0';
195 25 earlz
  OpData <= MemIn when (state=WaitForMemory and OpWE='0') else "ZZZZZZZZZZZZZZZZ";
196 20 earlz
  --opcode shortcuts
197 19 earlz
  opmain <= IR(15 downto 12);
198
  opimmd <= IR(7 downto 0);
199
  opcond1 <= IR(8);
200
  opcond2 <= IR(7);
201
  opreg1 <= IR(11 downto 9);
202
  opreg3 <= IR(2 downto 0);
203 27 earlz
  opreg2 <= IR(6 downto 4);
204
  opseges <= IR(3);
205 20 earlz
  --debug ports
206
  DebugCS <= regOut(REGCS);
207
  DebugIP <= regOut(REGIP);
208
  DebugR0 <= regOut(0);
209
  DebugIR <= IR;
210 25 earlz
  DebugTR <= TR;
211
  --register addresses with registerbank baked in
212 27 earlz
  bankreg1 <= ('1' & opreg1) when (regbank='1' and opreg1(2)='0') else '0' & opreg1;
213
  bankreg2 <= ('1' & opreg2) when (regbank='1' and opreg2(2)='0') else '0' & opreg2;
214
  bankreg3 <= ('1' & opreg3) when (regbank='1' and opreg3(2)='0') else '0' & opreg3;
215 29 earlz
  --UsuallySegment shortcuts (only used when not an immediate
216
  UsuallyDS <= "1101" when opseges='0' else "1110";
217
  UsuallySS <= "1111" when opseges='0' else "1110";
218 19 earlz
 
219 21 earlz
 
220 29 earlz
  foo: process(Clock, Hold, state, IR, inreset, reset, regin, regout, IPCarryOut, CSCarryOut)
221 19 earlz
  begin
222
    if rising_edge(Clock) then
223 21 earlz
 
224
    --states
225 20 earlz
      if reset='1' and hold='0' then
226 19 earlz
        InReset <= '1';
227
        state <= ResetProcessor;
228 20 earlz
        HoldAck <= '0';
229 21 earlz
        CarryCS <= '1';
230
        CarrySS <= '0';
231
        regWE <= (others => '1');
232
        regIn <= (others => "00000000");
233
        regIn(REGCS) <= x"01";
234
        IPAddend <= x"00";
235 25 earlz
        SPAddend <= x"00";
236
        AluOp <= "10001"; --reset TR in ALU
237
        regbank <= '0';
238 21 earlz
        fetchEN <= '1';
239 25 earlz
        OpData <= "ZZZZZZZZZZZZZZZZ";
240
        OpAddress <= x"0000";
241
        OpWE <= '0';
242
        opWW <= '0';
243 19 earlz
        --finish up
244
      elsif InReset='1' and reset='0' and Hold='0' then --reset is done, start executing
245
        InReset <= '0';
246 21 earlz
        fetchEN <= '1';
247
        state <= FirstFetch1;
248 19 earlz
      elsif Hold = '1' and (state=HoldMemory or state=Execute or state=ResetProcessor) then
249 20 earlz
        --do not hold immediately if waiting on memory or if waiting on the first fetch of an instruction after reset
250 19 earlz
        state <= HoldMemory;
251
        HoldAck <= '1';
252 21 earlz
        FetchEN <= '0';
253 19 earlz
      elsif Hold='0' and state=HoldMemory then
254 20 earlz
        if reset='1' or InReset='1' then
255
          state <= ResetProcessor;
256
        else
257
          state <= Execute;
258
        end if;
259 21 earlz
        FetchEN <= '1';
260
      elsif state=FirstFetch1 then --we have to let IR get loaded before we can execute.
261 20 earlz
        --regWE <= (others => '0');
262 21 earlz
        fetchEN <= '1'; --already enabled, but anyway
263 23 earlz
        --regWE <= (others => '0');
264 21 earlz
        IPAddend <= x"02";
265
        SPAddend <= x"00"; --no addend unless pushing or popping
266
        RegWE <= (others => '0');
267
        regIn(REGIP) <= IPCarryOut;
268
        regWE(REGIP) <= '1';
269
        regWE(REGCS) <= '1';
270
        regIn(REGCS) <= CSCarryOut;
271 23 earlz
        state <= Execute;
272
      elsif state=FirstFetch2 then
273
        state <= FirstFetch3;
274
 
275
      elsif state=FirstFetch3 then
276
        state <= Execute;
277 25 earlz
      elsif state=WaitForMemory then
278
        state <= Execute;
279
        FetchEn <= '1';
280
        IpAddend <= x"02";
281 29 earlz
        SpAddend <= x"00";
282 30 earlz
      elsif state=WaitForAlu then
283
        state <= Execute;
284
        regIn(to_integer(unsigned(AluRegisterOut))) <= AluOut;
285
        regWE(to_integer(unsigned(AluRegisterOut))) <= '1';
286
        FetchEN <= '1';
287
        IPAddend <= x"02";
288
        SPAddend <= x"00";
289 19 earlz
      end if;
290 21 earlz
 
291
 
292 19 earlz
      if state=Execute then
293 20 earlz
        fetchEN <= '1';
294 19 earlz
        --reset to "usual"
295 20 earlz
        IPAddend <= x"02";
296
        SPAddend <= x"00"; --no addend unless pushing or popping
297 19 earlz
        RegWE <= (others => '0');
298 21 earlz
        regIn(REGIP) <= IPCarryOut;
299
        regWE(REGIP) <= '1';
300
        regWE(REGCS) <= '1';
301
        regIn(REGCS) <= CSCarryOut;
302 25 earlz
        regIn(REGSP) <= SPCarryOut; --with addend being 0, it'll just write SP to SP so it won't change, but this makes code easier for me
303
        regIn(REGSS) <= SSCarryOut;
304
        regWE(REGSP) <= '1';
305
        regWE(REGSS) <= '1';
306
        OpAddress <= "ZZZZZZZZZZZZZZZZ";
307 21 earlz
 
308 19 earlz
        --actual decoding
309 25 earlz
        if opcond1='0' or (opcond1='1' and TR='1') then
310
          case opmain is
311
            when "0000" => --mov reg,imm
312 27 earlz
              regIn(to_integer(unsigned(bankreg1))) <= opimmd;
313
              regWE(to_integer(unsigned(bankreg1))) <= '1';
314 25 earlz
            when "0001" => --mov [reg],imm
315 27 earlz
              OpAddress <= regOut(REGDS) & regOut(to_integer(unsigned(bankreg1)));
316 25 earlz
              OpWE <= '1';
317
              OpData <= x"00" & opimmd;
318
              OpWW <= '0';
319
              state <= WaitForMemory;
320
              IPAddend <= x"00"; --disable all this because we have to wait a cycle to write memory
321
              FetchEN <= '0';
322 27 earlz
            when "0011" => --group 3 comparisons
323
              AluOp <= "01" & opreg3; --nothing hard here, ALU does it all for us
324 29 earlz
              AluIn1 <= regOut(to_integer(unsigned(bankreg1)));
325
              AluIn2 <= regOut(to_integer(unsigned(bankreg2)));
326 27 earlz
            when "0100" => --group 4 bitwise operations
327 30 earlz
              --setup wait state
328
              State <= WaitForAlu;
329
              FetchEN <= '0';
330
              IPAddend <= x"00";
331 27 earlz
              AluOp <= "00" & opreg3; --nothing hard here, ALU does it all for us
332 29 earlz
              AluIn1 <= regOut(to_integer(unsigned(bankreg1)));
333
              AluIn2 <= regOut(to_integer(unsigned(bankreg2)));
334 30 earlz
              AluRegisterOut <= bankreg1;
335
              --regIn(to_integer(unsigned(bankreg1))) <= AluOut;
336
              --regWE(to_integer(unsigned(bankreg1))) <= '1';
337 29 earlz
           when "0101" => --group 5
338
              case opreg3 is
339
                when "000" => --subgroup 5-0
340
                  case opreg2 is
341
                    when "000" => --push reg
342
                      SpAddend <= x"02"; --set SP to increment
343
                      OpAddress <= regOut(to_integer(unsigned(UsuallySS))) & regOut(REGSP);
344
                      OpWE <= '1';
345
                      OpData <= x"00" & regOut(to_integer(unsigned(bankreg1)));
346
                      OpWW <= '1';
347
                      state <= WaitForMemory;
348
                      IPAddend <= x"00";
349
                      FetchEN <= '0';
350
                    when "001" => --pop reg
351
                      SPAddend <= x"FE"; --set SP to decrement
352
                      OpAddress <= regOut(to_integer(unsigned(UsuallySS))) & regOut(REGSP);
353
                      OpWE <= '0';
354
                      regIn(to_integer(unsigned(bankreg1))) <= OpData(7 downto 0);
355
                      OpWW <= '0';
356
                      state <= WaitForMemory;
357
                      IPAddend <= x"00";
358
                      FetchEN <= '0';
359
                    when others =>
360
                      --synthesis off
361
                      report "Not implemented subgroup 5-0" severity error;
362
                      --synthesis on
363
                  end case;
364
                when others =>
365
                  --synthesis off
366
                  report "Not implemented group 5" severity error;
367
                  --synthesis on
368
              end case;
369 25 earlz
            when others =>
370
              --synthesis off
371
              report "Not implemented" severity error;
372
              --synthesis on
373
          end case;
374
        end if;
375 19 earlz
      end if;
376 21 earlz
 
377 29 earlz
    end if;
378 21 earlz
 
379
 
380 29 earlz
 
381 19 earlz
  end process;
382
 
383
 
384
 
385
 
386
 
387
 
388
 
389
 
390
 
391
end Behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.